|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5436 occurrences of 2452 keywords
|
|
|
Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
26 | Laurence Tianruo Yang |
Parallel Efficient Implementation of Hierarchical Algorithms for Module Placement of Large Chips. |
PARELEC |
2000 |
DBLP DOI BibTeX RDF |
|
26 | Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky |
On wirelength estimations for row-based placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin |
A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Sudip Nag, Kamal Chaudhary |
Post-Placement Residual-Overlap Removal with Minimal Movement. |
DATE |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Kia Bazargan, Ryan Kastner, Majid Sarrafzadeh |
3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems. |
IEEE International Workshop on Rapid System Prototyping |
1999 |
DBLP DOI BibTeX RDF |
3-D floorplanning, Reconfigurable computing, floorplanning |
26 | John Marty Emmert, Dinesh Bhatia |
Fast timing driven placement using tabu search. |
ISCAS (1) |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Sudip Nag, Rob A. Rutenbar |
Performance-driven simultaneous placement and routing for FPGA's. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky |
On wirelength estimations for row-based placement. |
ISPD |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Hiroshi Murata, Ernest S. Kuh |
Sequence-pair based placement method for hard/soft/pre-placed modules. |
ISPD |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Anmol Mathur, C. L. Liu 0001 |
Timing-driven placement for regular architectures. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
26 | Wern-Jieh Sun, Carl Sechen |
A parallel standard cell placement algorithm. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
26 | T. W. Her, Martin D. F. Wong |
Module implementation selection and its application to transistor placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
26 | John A. Chandy, Sungho Kim, Balkrishna Ramkumar, Steven Parkes, Prithviraj Banerjee |
An evaluation of parallel simulated annealing strategies with application to standard cell placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
26 | Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez |
A delay budgeting algorithm ensuring maximum flexibility in placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
26 | Youssef Saab |
An improved linear placement algorithm using node compaction. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Hiroyuki Tomiyama, Hiroto Yasuura |
Size-Constrained Code Placement for Cache Miss Rate Reduction. |
ISSS |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Moazzem Hossain, Bala Thumma, Sunil Ashtaputre |
A New Faster Algorithm for Iterative Placement Improvement. |
Great Lakes Symposium on VLSI |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Toru Yukimatsu, Takeshi Furuhashi, Yoshiki Uchikawa |
A Fuzzy Expert System for Hierarchical Placement of Parts on Printed Circuit Board. |
ANNES |
1995 |
DBLP DOI BibTeX RDF |
Fuzzy Logic, CAD, Expert System, Printed Circuit Board |
26 | Chih-Liang Eric Cheng |
RISA: accurate and efficient placement routability modeling. |
ICCAD |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Wei-Liang Lin, Majid Sarrafzadeh, Chak-Kuen Wong |
The reproducing placement problem with applications. |
ICCAD |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Wern-Jieh Sun, Carl Sechen |
A loosely coupled parallel algorithm for standard cell placement. |
ICCAD |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Anmol Mathur, C. L. Liu 0001 |
Compression-relaxation: a new approach to performance driven placement for regular architectures. |
ICCAD |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Mark Hirsch, Daniel P. Siewiorek |
The effect of placement of automatically extracted structure. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1992 |
DBLP DOI BibTeX RDF |
|
26 | Jürgen M. Kleinhans, Georg Sigl, Frank M. Johannes, Kurt Antreich |
GORDIAN: VLSI placement by quadratic programming and slicing optimization. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1991 |
DBLP DOI BibTeX RDF |
|
26 | M. Razaz, J. Gan |
Fuzzy set based initial placement for IC layout. |
EURO-DAC |
1990 |
DBLP DOI BibTeX RDF |
|
26 | Abhijit Chatterjee, Richard I. Hartley |
A New Simultaneous Circuit Partitioning and Chip Placement Approach Based on Simulated Annealing. |
DAC |
1990 |
DBLP DOI BibTeX RDF |
|
26 | Teofilo F. Gonzalez, Shashishekhar Kurki-Gowdara |
An approximation algorithm for the via placement problem. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1989 |
DBLP DOI BibTeX RDF |
|
26 | Amir Alon, Uri M. Ascher |
Model and solution strategy for placement of rectangular blocks in the Euclidean plane. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1988 |
DBLP DOI BibTeX RDF |
|
26 | Shervin Hojat, Richard Y. Kain |
On the simplification of a placement problem. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1988 |
DBLP DOI BibTeX RDF |
|
26 | Gregory M. Pisanich, Michael P. Prevost, Steven B. Hall |
Evaluating the Impact of Camera Placement on Teleoperator Efficiency. |
IEA/AIE (Vol. 1) |
1988 |
DBLP DOI BibTeX RDF |
|
26 | Carl Sechen, Alberto L. Sangiovanni-Vincentelli |
TimberWolf3.2: a new standard cell placement and global routing package. |
DAC |
1986 |
DBLP DOI BibTeX RDF |
|
26 | Bryan Preas, Patrick G. Karger |
Automatic placement a review of current techniques (tutorial session). |
DAC |
1986 |
DBLP DOI BibTeX RDF |
|
26 | Lu Sha, Robert W. Dutton |
An analytical algorithm for placement of arbitrarily sized rectangular blocks. |
DAC |
1985 |
DBLP DOI BibTeX RDF |
|
24 | Ming Xu, Gary Gréwal |
A Graph-Based I/O Pad Pre-placement Technique for Use with Analytic FPGA Placement Methods. |
VLSI Design |
2010 |
DBLP DOI BibTeX RDF |
I/O-Pad Pre-assignment, FPGA, Analytic Placement |
24 | Yufu Zhang, Bing Shi, Ankur Srivastava 0001 |
A statistical framework for designing on-chip thermal sensing infrastructure in nano-scale systems. |
ISPD |
2010 |
DBLP DOI BibTeX RDF |
estimation, statistical, temperature, sensor placement |
24 | Minsik Cho, Haoxing Ren, Hua Xiang 0001, Ruchir Puri |
History-based VLSI legalization using network flow. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
VLSI, placement, network flow, legalization |
24 | Ping-Hung Yuh, Chia-Lin Yang, Chi-Feng Li, Chung-Hsiang Lin |
Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs. |
ACM Trans. Design Autom. Electr. Syst. |
2009 |
DBLP DOI BibTeX RDF |
scheduling, placement, Reconfigurable computing, leakage, partially dynamical reconfiguration |
24 | Yi Shi 0001, Y. Thomas Hou 0001, Alon Efrat |
Algorithm design for a class of base station location problems in sensor networks. |
Wirel. Networks |
2009 |
DBLP DOI BibTeX RDF |
Base station placement, Wireless sensor networks, Approximation algorithm, Complexity, Network lifetime, Network capacity |
24 | David A. Papa, Tao Luo 0002, Michael D. Moffitt, Chin Ngai Sze, Zhuo Li 0001, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov |
RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm. |
ISPD |
2008 |
DBLP DOI BibTeX RDF |
static timing analysis, timing-driven placement |
24 | Lijuan Qin, Yu-Lan Hu, Ying-Zi Wei, Hong Wang, Yue Zhou |
Research on Optimum Position for Straight Lines Model. |
ICIC (1) |
2008 |
DBLP DOI BibTeX RDF |
Placement position, Model, Pose estimation, Quantization errors |
24 | Koert Vlaeminck, Tim Wauters, Filip De Turck, Bart Dhoedt, Piet Demeester |
Towards Transparent Personal Content Storage in Multi-service Access Networks. |
EUC |
2007 |
DBLP DOI BibTeX RDF |
Resilience, Distributed Storage, Access Network, Personal Content, Server Placement |
24 | Hailing Yu, Divyakant Agrawal, Amr El Abbadi |
Exploiting sequential access when declustering data over disks and MEMS-based storage. |
Distributed Parallel Databases |
2006 |
DBLP DOI BibTeX RDF |
Multi-dimensional datasets, Data placement scheme, Distributed algorithms, Range queries, MEMS-based storage |
24 | Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt |
PARLGRAN: parallelism granularity selection for scheduling task chains on dynamically reconfigurable architectures. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
granularity selection, linear placement, scheduling, data-parallelism, partial dynamic reconfiguration |
24 | Aaron N. Ng, Igor L. Markov, Rajat Aggarwal, Venky Ramachandran |
Solving hard instances of floorplacement. |
ISPD |
2006 |
DBLP DOI BibTeX RDF |
floorplacement, benchmarks, placement, floorplanning, RTL, circuit layout |
24 | Jarrod A. Roy, James F. Lu, Igor L. Markov |
Seeing the forest and the trees: Steiner wirelength optimization in placemen. |
ISPD |
2006 |
DBLP DOI BibTeX RDF |
routing, placement, physical design, Steiner tree |
24 | Priya Sundararajan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan |
Thermal characterization and optimization in platform FPGAs. |
ICCAD |
2006 |
DBLP DOI BibTeX RDF |
Virtex4, platform FPGAs, thermal floorplan, placement, temperature, thermal |
24 | Mike Hutton, David Karchmer, Bryan Archell, Jason Govig |
Efficient static timing analysis and applications using edge masks. |
FPGA |
2005 |
DBLP DOI BibTeX RDF |
cut-path, multicycle, thru-x, FPGA, placement, timing analysis |
24 | Bart De Vleeschauwer, Filip De Turck, Bart Dhoedt, Piet Demeester |
On the Construction of QoS Enabled Overlay Networks. |
QofIS |
2004 |
DBLP DOI BibTeX RDF |
QoS, Overlay Network, Integer Linear Programming, Server Placement |
24 | Jinsung Cho, Minyoung Sung, Heonshik Shin |
A Design Framework for Multi-Resolution Video Servers. |
Multim. Tools Appl. |
2003 |
DBLP DOI BibTeX RDF |
multi-resolution video stream model, data placement and retrieval, admission control, Video server |
24 | Xueyan Tang, Samuel T. Chanson |
Coordinated En-Route Web Caching. |
IEEE Trans. Computers |
2002 |
DBLP DOI BibTeX RDF |
web cache management, web object placement, transparent web cache, performance evaluation, World Wide Web, dynamic programming, Web caching |
24 | Sava Stanic, Suresh Subramaniam 0001, Hongsik Choi, Gokhan Sahin, Hyeong-Ah Choi |
On Monitoring Transparent Optical Networks. |
ICPP Workshops |
2002 |
DBLP DOI BibTeX RDF |
Transparent Optical Network, Monitor Placement, Fault Localization |
24 | Srinivasan Parthasarathy 0001, Mohammed Javeed Zaki, Mitsunori Ogihara, Wei Li 0015 |
Parallel Data Mining for Association Rules on Shared-Memory Systems. |
Knowl. Inf. Syst. |
2001 |
DBLP DOI BibTeX RDF |
Improving locality, Memory placement, Reducing false sharing, Association rules, Parallel data mining |
24 | Sandy Irani, Nalini Venkatasubramanian |
Semi-Continuous Transmission for Cluster-Based Video Servers. |
CLUSTER |
2001 |
DBLP DOI BibTeX RDF |
clusters, scheduling, placement, video-on demand, migration, Multimedia servers |
24 | Dirk Stroobandt, Herwig Van Marck, Jan Van Campenhout |
An Accurate Interconnection Length Estimation for Computer Logic. |
Great Lakes Symposium on VLSI |
1996 |
DBLP DOI BibTeX RDF |
Interconnection length, Interconnection complexity, Donath's hierarchical placement technique, Global interconnection length distribution, Rent's rule |
24 | Amer Diwan, David Tarditi, J. Eliot B. Moss |
Memory System Performance of Programs with Intensive Heap Allocation |
ACM Trans. Comput. Syst. |
1995 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer |
24 | Julius S. Gyorfi, Chi-haur Wu |
An Efficient Algorithm for Placement Sequence and Feeder Assignment Problems With Multiple Placement-Nozzles and Independent Link Evaluation. |
IEEE Trans. Syst. Man Cybern. Part A |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Yo Kobayashi, Akinori Onishi, Hiroki Watanabe, Takeharu Hoshi, Kazuya Kawamura, Masakatsu G. Fujie |
Developing a planning method for straight needle insertion using probability-based condition where a puncture occurs. |
ICRA |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Srinath Sridharan, Michael DeBole, Guangyu Sun 0003, Yuan Xie 0001, Vijaykrishnan Narayanan |
A criticality-driven microarchitectural three dimensional (3D) floorplanner. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Yu Wang 0002, Ku He, Rong Luo, Hui Wang 0004, Huazhong Yang |
Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Lamine M. Aouad, Nhien-An Le-Khac, M. Tahar Kechadi |
Persistent Workflow on the Grid. |
APSCC |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Huan Ren, Shantanu Dutt |
Algorithms for simultaneous consideration of multiple physical synthesis transforms for timing closure. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Po-Yuan Chen, Che-Yu Liu, TingTing Hwang |
Transition-aware decoupling-capacitor allocation in power noise reduction. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Joshua Robinson 0002, Mustafa Uysal, Ram Swaminathan, Edward W. Knightly |
Adding Capacity Points to a Wireless Mesh Network Using Local Search. |
INFOCOM |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Luis Angel D. Bathen, Nikil D. Dutt, Sudeep Pasricha |
A framework for memory-aware multimedia application mapping on chip-multiprocessors. |
ESTIMedia |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Jun Guo 0001, Sanjay Jha 0001 |
Placing Multicast Proxies for Internet Live Media Streaming. |
LCN |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt |
Integrating Physical Constraints in HW-SW Partitioning for Architectures With Partial Dynamic Reconfiguration. |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Andrew B. Kahng, Ion I. Mandoiu, Sherief Reda, Xu Xu 0001, Alexander Zelikovsky |
Computer-Aided Optimization of DNA Array Design and Manufacturing. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Bradley D. Null, Eric D. Sinzinger |
Next Best View Algorithms for Interior and Exterior Model Acquisition. |
ISVC (2) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang |
A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. |
ICCAD |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Andrew B. Kahng, Qinke Wang |
Implementation and extensibility of an analytic placer. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Gianfranco Bilardi, Keshav Pingali |
Algorithms for computing the static single assignment form. |
J. ACM |
2003 |
DBLP DOI BibTeX RDF |
program transformation, optimizing compilers, program optimization, Control dependence, static single assignment form |
23 | Bo-Kyung Choi, Huaiyu Xu, Maogang Wang, Majid Sarrafzadeh |
Flow-Based Cell Moving Algorithm for Desired Cell Distribution. |
ICCD |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Phillip Christie, Dirk Stroobandt |
The interpretation and application of Rent's rule. |
IEEE Trans. Very Large Scale Integr. Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Geetika T. Lakshmanan, Ying Li, Robert E. Strom |
Placement of replicated tasks for distributed stream processing systems. |
DEBS |
2010 |
DBLP DOI BibTeX RDF |
component, replication, placement, task, distributed stream processing |
22 | Gregory Lucas, Chen Dong 0003, Deming Chen |
Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. |
FPGA |
2010 |
DBLP DOI BibTeX RDF |
multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis |
22 | Mirza Omer Beg, Peter van Beek |
A graph theoretic approach to cache-conscious placement of data for direct mapped caches. |
ISMM |
2010 |
DBLP DOI BibTeX RDF |
cache consciousness, data placement in cache, offline algorithms, memory management, cache optimization |
22 | Santosh Pandey, Shaoqiang Dong, Prathima Agrawal, Krishna M. Sivalingam |
On Performance of Node Placement Approaches for Hierarchical Heterogeneous Sensor Networks. |
Mob. Networks Appl. |
2009 |
DBLP DOI BibTeX RDF |
heterogeneous nodes, clusterhead node placement, wireless sensor networks, hierarchical network |
22 | Guillaume Mercier, Jérôme Clet-Ortega |
Towards an Efficient Process Placement Policy for MPI Applications in Multicore Environments. |
PVM/MPI |
2009 |
DBLP DOI BibTeX RDF |
Process placement, Message-Passing, Multicore architectures |
22 | Mauricio J. Serrano, Xiaotong Zhuang |
Placement optimization using data context collected during garbage collection. |
ISMM |
2009 |
DBLP DOI BibTeX RDF |
data context, data context analysis, program understanding, placement optimization |
22 | Behzad Sajed Khosrowshahi, Peter Graham |
Component placement and location for a dynamic software composition system. |
C3S2E |
2009 |
DBLP DOI BibTeX RDF |
component location, component placement, service composition, software components |
22 | Leonor Albuquerque Melo |
Multi-colony ant colony optimization for the node placement problem. |
GECCO (Companion) |
2009 |
DBLP DOI BibTeX RDF |
multi-colony, node placement problem, ant colony optimization |
22 | Ju-Chao Zhuo, Jun Li, Gang Wu |
Study of cache placement for time-shifted TV cluster using genetic algorithm. |
GEC Summit |
2009 |
DBLP DOI BibTeX RDF |
cache placement, time-shifted tv (tstv), genetic algorithm, blocking probability |
22 | Eric Becker, Gutemberg Guerra-Filho, Fillia Makedon |
Automatic sensor placement in a 3D volume. |
PETRA |
2009 |
DBLP DOI BibTeX RDF |
sensor placement |
22 | François Bérard, Jessica Ip, Mitchel Benovoy, Dalia El-Shimy, Jeffrey R. Blum, Jeremy R. Cooperstock |
Did "Minority Report" Get It Wrong? Superiority of the Mouse over 3D Input Devices in a 3D Placement Task. |
INTERACT (2) |
2009 |
DBLP DOI BibTeX RDF |
3D placement, input device, stress |
22 | Mojtaba Mehrara, Todd M. Austin |
Exploiting selective placement for low-cost memory protection. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
Partial memory protection, selective placement, transient faults, fault-tolerant design, memory system design |
22 | Steven Noel, Sushil Jajodia |
Optimal IDS Sensor Placement and Alert Prioritization Using Attack Graphs. |
J. Netw. Syst. Manag. |
2008 |
DBLP DOI BibTeX RDF |
Topological vulnerability analysis, Intrusion detection, Attack graphs, Sensor placement |
22 | Bjorn De Sutter, Paul Coene, Tom Vander Aa, Bingfeng Mei |
Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays. |
LCTES |
2008 |
DBLP DOI BibTeX RDF |
register allocation, placement and routing, coarse-grained, reconfigurable arrays |
22 | Yasir Drabu, Hassan Peyravi |
Gateway Placement with QoS Constraints in Wireless Mesh Networks. |
ICN |
2008 |
DBLP DOI BibTeX RDF |
mesh deployment, wireless mesh networks, gateway placement |
22 | Akshat Verma, Puneet Ahuja, Anindya Neogi |
Power-aware dynamic placement of HPC applications. |
ICS |
2008 |
DBLP DOI BibTeX RDF |
power management, placement, high performance |
22 | Hao Li, Yue Zhuo |
Criticality history guided FPGA placement algorithm for timing optimization. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
fpga, placement, timing optimization |
22 | Ming-Fang Lai, Hung-Ming Chen |
An Implementation of Performance-Driven Block and I/O Placement for Chip-Package Codesign. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
Chip-Package Codesign, I/O Placement, Power Integrity |
22 | Abdelfatteh Haidine, Ralf Lehnert |
Placement of Base Stations in Broadband Power Line Communications Access Networks by Means of Multi-criteria Optimization. |
AccessNets |
2008 |
DBLP DOI BibTeX RDF |
uplink delay, Broadband Power-Line Communications (B-PLC), access network planning, generalized base station placement, multi-criteria optimization, network costs |
22 | Zhijia Chen, Chuang Lin 0002, Hao Yin, Bo Li 0001 |
On the Server Placement Problem of P2P Live Media Streaming System. |
PCM |
2008 |
DBLP DOI BibTeX RDF |
P2P, CDN, Media Streaming, Server Placement |
22 | Kenneth Eguro, Scott Hauck |
Enhancing timing-driven FPGA placement for pipelined netlists. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
timing-driven, FPGA, simulated annealing, pipelined, placement |
22 | Aaron So, Ben Liang 0001 |
Enhancing WLAN Capacity by Strategic Placement of Tetherless Relay Points. |
IEEE Trans. Mob. Comput. |
2007 |
DBLP DOI BibTeX RDF |
tetherless relay point, capacity improvement, Wireless local area network, mathematical programming/optimization, placement optimization |
22 | Claudio Vicari, Chiara Petrioli, Francesco Lo Presti |
Dynamic replica placement and traffic redirection in content delivery networks. |
SIGMETRICS Perform. Evaluation Rev. |
2007 |
DBLP DOI BibTeX RDF |
dynamic replica placement, user requests redirection, content delivery networks, content access |
22 | Errol L. Lloyd, Guoliang Xue |
Relay Node Placement in Wireless Sensor Networks. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
wireless sensor networks, approximation algorithms, Relay node placement |
22 | Keqiu Li, Hong Shen 0001, Francis Y. L. Chin, Weishi Zhang |
Multimedia Object Placement for Transparent Data Replication. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
transparent data access, optimization, multimedia, transcoding, Web caching, object placement |
22 | Changsheng Xie, Xu Li, Qinqi Wei, Qiang Cao 0001 |
EOP: An Efficient Object Placement and Location Algorithm for OBS Cluster. |
ICA3PP |
2007 |
DBLP DOI BibTeX RDF |
interval mapping, hash function, OBS, object placement |
22 | Gustavo Sánchez, Minaya Villasana, Miguel Strefezza |
Multi-objective Pole Placement with Evolutionary Algorithms. |
EMO |
2007 |
DBLP DOI BibTeX RDF |
Multi-objective control, Pole placement, Evolutionary Algorithms |
Displaying result #501 - #600 of 16451 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|