|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5436 occurrences of 2452 keywords
|
|
|
Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
26 | Laurence Tianruo Yang |
Parallel Efficient Implementation of Hierarchical Algorithms for Module Placement of Large Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARELEC ![In: 2000 International Conference on Parallel Computing in Electrical Engineering (PARELEC 2000), 27-30 August 2000, Quebec, Canada, pp. 128-133, 2000, IEEE Computer Society, 0-7695-0759-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
26 | Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky |
On wirelength estimations for row-based placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(9), pp. 1265-1278, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin |
A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(4), pp. 475-483, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Sudip Nag, Kamal Chaudhary |
Post-Placement Residual-Overlap Removal with Minimal Movement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1999 Design, Automation and Test in Europe (DATE '99), 9-12 March 1999, Munich, Germany, pp. 581-586, 1999, IEEE Computer Society / ACM, 0-7695-0078-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Kia Bazargan, Ryan Kastner, Majid Sarrafzadeh |
3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: Proceedings of the Tenth IEEE International Workshop on Rapid System Prototyping (RSP 1999), Clearwater, Florida, USA, June 16-18, 1999, pp. 38-, 1999, IEEE Computer Society, 0-7695-0246-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
3-D floorplanning, Reconfigurable computing, floorplanning |
26 | John Marty Emmert, Dinesh Bhatia |
Fast timing driven placement using tabu search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 302-305, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Sudip Nag, Rob A. Rutenbar |
Performance-driven simultaneous placement and routing for FPGA's. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(6), pp. 499-518, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky |
On wirelength estimations for row-based placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 1998 International Symposium on Physical Design, ISPD 1998, Monterey, CA, USA, April 6-8, 1998, pp. 4-11, 1998, ACM, 1-58113-021-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Hiroshi Murata, Ernest S. Kuh |
Sequence-pair based placement method for hard/soft/pre-placed modules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 1998 International Symposium on Physical Design, ISPD 1998, Monterey, CA, USA, April 6-8, 1998, pp. 167-172, 1998, ACM, 1-58113-021-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Anmol Mathur, C. L. Liu 0001 |
Timing-driven placement for regular architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(6), pp. 597-608, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
26 | Wern-Jieh Sun, Carl Sechen |
A parallel standard cell placement algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(11), pp. 1342-1357, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
26 | T. W. Her, Martin D. F. Wong |
Module implementation selection and its application to transistor placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(6), pp. 645-651, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
26 | John A. Chandy, Sungho Kim, Balkrishna Ramkumar, Steven Parkes, Prithviraj Banerjee |
An evaluation of parallel simulated annealing strategies with application to standard cell placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(4), pp. 398-410, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
26 | Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez |
A delay budgeting algorithm ensuring maximum flexibility in placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(11), pp. 1332-1341, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
26 | Youssef Saab |
An improved linear placement algorithm using node compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(8), pp. 952-958, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Hiroyuki Tomiyama, Hiroto Yasuura |
Size-Constrained Code Placement for Cache Miss Rate Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 9th International Symposium on System Synthesis, ISSS '96, San Diego, CA, USA, November 6-8, 1996., pp. 96-104, 1996, ACM / IEEE Computer Society, 0-8186-7563-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Moazzem Hossain, Bala Thumma, Sunil Ashtaputre |
A New Faster Algorithm for Iterative Placement Improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), March 22-23, 1996, Ames, IA, USA, pp. 44-49, 1996, IEEE Computer Society, 0-8186-7502-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Toru Yukimatsu, Takeshi Furuhashi, Yoshiki Uchikawa |
A Fuzzy Expert System for Hierarchical Placement of Parts on Printed Circuit Board. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANNES ![In: 2nd New Zealand Two-Stream International Conference on Artificial Neural Networks and Expert Systems (ANNES '95), November 20-23, 1995, Dunedin, New Zealand, pp. 342-345, 1995, IEEE Computer Society, 0-8186-7174-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Fuzzy Logic, CAD, Expert System, Printed Circuit Board |
26 | Chih-Liang Eric Cheng |
RISA: accurate and efficient placement routability modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994, pp. 690-695, 1994, IEEE Computer Society / ACM, 0-89791-690-5. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Wei-Liang Lin, Majid Sarrafzadeh, Chak-Kuen Wong |
The reproducing placement problem with applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994, pp. 686-689, 1994, IEEE Computer Society / ACM, 0-89791-690-5. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Wern-Jieh Sun, Carl Sechen |
A loosely coupled parallel algorithm for standard cell placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994, pp. 137-144, 1994, IEEE Computer Society / ACM, 0-89791-690-5. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Anmol Mathur, C. L. Liu 0001 |
Compression-relaxation: a new approach to performance driven placement for regular architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994, pp. 130-136, 1994, IEEE Computer Society / ACM, 0-89791-690-5. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Mark Hirsch, Daniel P. Siewiorek |
The effect of placement of automatically extracted structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(9), pp. 1140-1152, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
26 | Jürgen M. Kleinhans, Georg Sigl, Frank M. Johannes, Kurt Antreich |
GORDIAN: VLSI placement by quadratic programming and slicing optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(3), pp. 356-365, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
26 | M. Razaz, J. Gan |
Fuzzy set based initial placement for IC layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EURO-DAC ![In: European Design Automation Conference, EURO-DAC 1990, Glasgow, Scotland, UK, March 12-15, 1990, pp. 655-659, 1990, IEEE Computer Society, 0-8186-2024-2. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
26 | Abhijit Chatterjee, Richard I. Hartley |
A New Simultaneous Circuit Partitioning and Chip Placement Approach Based on Simulated Annealing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990., pp. 36-39, 1990, IEEE Computer Society Press, 0-89791-363-9. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
26 | Teofilo F. Gonzalez, Shashishekhar Kurki-Gowdara |
An approximation algorithm for the via placement problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(3), pp. 219-228, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
26 | Amir Alon, Uri M. Ascher |
Model and solution strategy for placement of rectangular blocks in the Euclidean plane. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(3), pp. 378-386, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
26 | Shervin Hojat, Richard Y. Kain |
On the simplification of a placement problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(7), pp. 805-812, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
26 | Gregory M. Pisanich, Michael P. Prevost, Steven B. Hall |
Evaluating the Impact of Camera Placement on Teleoperator Efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE (Vol. 1) ![In: Proceedings of the First International Conference on Industrial & Engineering Applications of Artificial Intelligence & Expert Systems, IEA/AIE 1988, June 1-3, 1988, Tullahoma, TN, USA. ACM, 1988 - Volume 1, pp. 629-637, 1988, ACM, 0-89791-271-3. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
26 | Carl Sechen, Alberto L. Sangiovanni-Vincentelli |
TimberWolf3.2: a new standard cell placement and global routing package. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, NV, USA, June, 1986., pp. 432-439, 1986, IEEE Computer Society Press. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
26 | Bryan Preas, Patrick G. Karger |
Automatic placement a review of current techniques (tutorial session). ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, NV, USA, June, 1986., pp. 622-629, 1986, IEEE Computer Society Press. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
26 | Lu Sha, Robert W. Dutton |
An analytical algorithm for placement of arbitrarily sized rectangular blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 22nd ACM/IEEE conference on Design automation, DAC 1985, Las Vegas, Nevada, USA, 1985., pp. 602-608, 1985, ACM, 0-8186-0635-5. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
24 | Ming Xu, Gary Gréwal |
A Graph-Based I/O Pad Pre-placement Technique for Use with Analytic FPGA Placement Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2010: 23rd International Conference on VLSI Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010, pp. 63-68, 2010, IEEE Computer Society, 978-0-7695-3928-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
I/O-Pad Pre-assignment, FPGA, Analytic Placement |
24 | Yufu Zhang, Bing Shi, Ankur Srivastava 0001 |
A statistical framework for designing on-chip thermal sensing infrastructure in nano-scale systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 169-176, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
estimation, statistical, temperature, sensor placement |
24 | Minsik Cho, Haoxing Ren, Hua Xiang 0001, Ruchir Puri |
History-based VLSI legalization using network flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 286-291, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
VLSI, placement, network flow, legalization |
24 | Ping-Hung Yuh, Chia-Lin Yang, Chi-Feng Li, Chung-Hsiang Lin |
Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(4), pp. 52:1-52:26, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
scheduling, placement, Reconfigurable computing, leakage, partially dynamical reconfiguration |
24 | Yi Shi 0001, Y. Thomas Hou 0001, Alon Efrat |
Algorithm design for a class of base station location problems in sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Networks ![In: Wirel. Networks 15(1), pp. 21-38, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Base station placement, Wireless sensor networks, Approximation algorithm, Complexity, Network lifetime, Network capacity |
24 | David A. Papa, Tao Luo 0002, Michael D. Moffitt, Chin Ngai Sze, Zhuo Li 0001, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov |
RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 2-9, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
static timing analysis, timing-driven placement |
24 | Lijuan Qin, Yu-Lan Hu, Ying-Zi Wei, Hong Wang, Yue Zhou |
Research on Optimum Position for Straight Lines Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 4th International Conference on Intelligent Computing, ICIC 2008, Shanghai, China, September 15-18, 2008, Proceedings, pp. 521-528, 2008, Springer, 978-3-540-87440-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Placement position, Model, Pose estimation, Quantization errors |
24 | Koert Vlaeminck, Tim Wauters, Filip De Turck, Bart Dhoedt, Piet Demeester |
Towards Transparent Personal Content Storage in Multi-service Access Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings, pp. 479-492, 2007, Springer, 978-3-540-77091-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Resilience, Distributed Storage, Access Network, Personal Content, Server Placement |
24 | Hailing Yu, Divyakant Agrawal, Amr El Abbadi |
Exploiting sequential access when declustering data over disks and MEMS-based storage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Parallel Databases ![In: Distributed Parallel Databases 19(2-3), pp. 147-168, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Multi-dimensional datasets, Data placement scheme, Distributed algorithms, Range queries, MEMS-based storage |
24 | Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt |
PARLGRAN: parallelism granularity selection for scheduling task chains on dynamically reconfigurable architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 491-496, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
granularity selection, linear placement, scheduling, data-parallelism, partial dynamic reconfiguration |
24 | Aaron N. Ng, Igor L. Markov, Rajat Aggarwal, Venky Ramachandran |
Solving hard instances of floorplacement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 170-177, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
floorplacement, benchmarks, placement, floorplanning, RTL, circuit layout |
24 | Jarrod A. Roy, James F. Lu, Igor L. Markov |
Seeing the forest and the trees: Steiner wirelength optimization in placemen. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 78-85, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
routing, placement, physical design, Steiner tree |
24 | Priya Sundararajan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan |
Thermal characterization and optimization in platform FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 443-447, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Virtex4, platform FPGAs, thermal floorplan, placement, temperature, thermal |
24 | Mike Hutton, David Karchmer, Bryan Archell, Jason Govig |
Efficient static timing analysis and applications using edge masks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, FPGA 2005, Monterey, California, USA, February 20-22, 2005, pp. 174-183, 2005, ACM, 1-59593-029-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
cut-path, multicycle, thru-x, FPGA, placement, timing analysis |
24 | Bart De Vleeschauwer, Filip De Turck, Bart Dhoedt, Piet Demeester |
On the Construction of QoS Enabled Overlay Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QofIS ![In: Quality of Service in the Emerging Networking Panorama: Fifth International Workshop on Quality of Future Internet Services, QofIS 2004 and First Workshop on Quality of Service Routing WQoSR 2004 and Fourth International Workshop on Internet Charging and QoS Technology, ICQT 2004, Barcelona, Catalonia, Spain, September 29 - October 1, 2004, Proceedings, pp. 164-173, 2004, Springer, 3-540-23238-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
QoS, Overlay Network, Integer Linear Programming, Server Placement |
24 | Jinsung Cho, Minyoung Sung, Heonshik Shin |
A Design Framework for Multi-Resolution Video Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 20(3), pp. 237-262, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
multi-resolution video stream model, data placement and retrieval, admission control, Video server |
24 | Xueyan Tang, Samuel T. Chanson |
Coordinated En-Route Web Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(6), pp. 595-607, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
web cache management, web object placement, transparent web cache, performance evaluation, World Wide Web, dynamic programming, Web caching |
24 | Sava Stanic, Suresh Subramaniam 0001, Hongsik Choi, Gokhan Sahin, Hyeong-Ah Choi |
On Monitoring Transparent Optical Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 31st International Conference on Parallel Processing Workshops (ICPP 2002 Workshops), 20-23 August 2002, Vancouver, BC, Canada, pp. 217-223, 2002, IEEE Computer Society, 0-7695-1680-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Transparent Optical Network, Monitor Placement, Fault Localization |
24 | Srinivasan Parthasarathy 0001, Mohammed Javeed Zaki, Mitsunori Ogihara, Wei Li 0015 |
Parallel Data Mining for Association Rules on Shared-Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knowl. Inf. Syst. ![In: Knowl. Inf. Syst. 3(1), pp. 1-29, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Improving locality, Memory placement, Reducing false sharing, Association rules, Parallel data mining |
24 | Sandy Irani, Nalini Venkatasubramanian |
Semi-Continuous Transmission for Cluster-Based Video Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2001 IEEE International Conference on Cluster Computing (CLUSTER 2001), 8-11 October 2001, Newport Beach, CA, USA, pp. 303-312, 2001, IEEE Computer Society, 0-7695-1116-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
clusters, scheduling, placement, video-on demand, migration, Multimedia servers |
24 | Dirk Stroobandt, Herwig Van Marck, Jan Van Campenhout |
An Accurate Interconnection Length Estimation for Computer Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), March 22-23, 1996, Ames, IA, USA, pp. 50-55, 1996, IEEE Computer Society, 0-8186-7502-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Interconnection length, Interconnection complexity, Donath's hierarchical placement technique, Global interconnection length distribution, Rent's rule |
24 | Amer Diwan, David Tarditi, J. Eliot B. Moss |
Memory System Performance of Programs with Intensive Heap Allocation ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(3), pp. 244-273, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer |
24 | Julius S. Gyorfi, Chi-haur Wu |
An Efficient Algorithm for Placement Sequence and Feeder Assignment Problems With Multiple Placement-Nozzles and Independent Link Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part A ![In: IEEE Trans. Syst. Man Cybern. Part A 38(2), pp. 437-442, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Yo Kobayashi, Akinori Onishi, Hiroki Watanabe, Takeharu Hoshi, Kazuya Kawamura, Masakatsu G. Fujie |
Developing a planning method for straight needle insertion using probability-based condition where a puncture occurs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2009 IEEE International Conference on Robotics and Automation, ICRA 2009, Kobe, Japan, May 12-17, 2009, pp. 3482-3489, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Srinath Sridharan, Michael DeBole, Guangyu Sun 0003, Yuan Xie 0001, Vijaykrishnan Narayanan |
A criticality-driven microarchitectural three dimensional (3D) floorplanner. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 763-768, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Yu Wang 0002, Ku He, Rong Luo, Hui Wang 0004, Huazhong Yang |
Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(9), pp. 1101-1113, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Lamine M. Aouad, Nhien-An Le-Khac, M. Tahar Kechadi |
Persistent Workflow on the Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSCC ![In: Proceedings of the 3rd IEEE Asia-Pacific Services Computing Conference, APSCC 2008, Yilan, Taiwan, 9-12 December 2008, pp. 8-14, 2008, IEEE Computer Society, 978-0-7695-3473-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Huan Ren, Shantanu Dutt |
Algorithms for simultaneous consideration of multiple physical synthesis transforms for timing closure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 93-100, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Po-Yuan Chen, Che-Yu Liu, TingTing Hwang |
Transition-aware decoupling-capacitor allocation in power noise reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 426-429, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Joshua Robinson 0002, Mustafa Uysal, Ram Swaminathan, Edward W. Knightly |
Adding Capacity Points to a Wireless Mesh Network Using Local Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2008. 27th IEEE International Conference on Computer Communications, Joint Conference of the IEEE Computer and Communications Societies, 13-18 April 2008, Phoenix, AZ, USA, pp. 1247-1255, 2008, IEEE, 978-1-4244-2026-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Luis Angel D. Bathen, Nikil D. Dutt, Sudeep Pasricha |
A framework for memory-aware multimedia application mapping on chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESTIMedia ![In: Proceedings of the 6th IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2008, Atlanta, Georgia, USA, 23-24 October 2008, pp. 89-94, 2008, IEEE Computer Society, 978-1-4244-2612-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Jun Guo 0001, Sanjay Jha 0001 |
Placing Multicast Proxies for Internet Live Media Streaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 32nd Annual IEEE Conference on Local Computer Networks (LCN 2007), 15-18 October 2007, Clontarf Castle, Dublin, Ireland, Proceedings, pp. 149-156, 2007, IEEE Computer Society, 0-7695-3000-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt |
Integrating Physical Constraints in HW-SW Partitioning for Architectures With Partial Dynamic Reconfiguration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(11), pp. 1189-1202, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Andrew B. Kahng, Ion I. Mandoiu, Sherief Reda, Xu Xu 0001, Alexander Zelikovsky |
Computer-Aided Optimization of DNA Array Design and Manufacturing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(2), pp. 305-320, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Bradley D. Null, Eric D. Sinzinger |
Next Best View Algorithms for Interior and Exterior Model Acquisition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVC (2) ![In: Advances in Visual Computing, Second International Symposium, ISVC 2006 Lake Tahoe, NV, USA, November 6-8, 2006. Proceedings, Part II, pp. 668-677, 2006, Springer. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang |
A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 187-192, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Andrew B. Kahng, Qinke Wang |
Implementation and extensibility of an analytic placer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(5), pp. 734-747, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Gianfranco Bilardi, Keshav Pingali |
Algorithms for computing the static single assignment form. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 50(3), pp. 375-425, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
program transformation, optimizing compilers, program optimization, Control dependence, static single assignment form |
23 | Bo-Kyung Choi, Huaiyu Xu, Maogang Wang, Majid Sarrafzadeh |
Flow-Based Cell Moving Algorithm for Desired Cell Distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 218-, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Phillip Christie, Dirk Stroobandt |
The interpretation and application of Rent's rule. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 8(6), pp. 639-648, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Geetika T. Lakshmanan, Ying Li, Robert E. Strom |
Placement of replicated tasks for distributed stream processing systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEBS ![In: Proceedings of the Fourth ACM International Conference on Distributed Event-Based Systems, DEBS 2010, Cambridge, United Kingdom, July 12-15, 2010, pp. 128-139, 2010, ACM, 978-1-60558-927-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
component, replication, placement, task, distributed stream processing |
22 | Gregory Lucas, Chen Dong 0003, Deming Chen |
Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 177-180, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis |
22 | Mirza Omer Beg, Peter van Beek |
A graph theoretic approach to cache-conscious placement of data for direct mapped caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 9th International Symposium on Memory Management, ISMM 2010, Toronto, Ontario, Canada, June 5-6, 2010, pp. 113-120, 2010, ACM, 978-1-4503-0054-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cache consciousness, data placement in cache, offline algorithms, memory management, cache optimization |
22 | Santosh Pandey, Shaoqiang Dong, Prathima Agrawal, Krishna M. Sivalingam |
On Performance of Node Placement Approaches for Hierarchical Heterogeneous Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mob. Networks Appl. ![In: Mob. Networks Appl. 14(4), pp. 401-414, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
heterogeneous nodes, clusterhead node placement, wireless sensor networks, hierarchical network |
22 | Guillaume Mercier, Jérôme Clet-Ortega |
Towards an Efficient Process Placement Policy for MPI Applications in Multicore Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 16th European PVM/MPI Users' Group Meeting, Espoo, Finland, September 7-10, 2009. Proceedings, pp. 104-115, 2009, Springer, 978-3-642-03769-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Process placement, Message-Passing, Multicore architectures |
22 | Mauricio J. Serrano, Xiaotong Zhuang |
Placement optimization using data context collected during garbage collection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 8th International Symposium on Memory Management, ISMM 2009, Dublin, Ireland, June 19-20, 2009, pp. 69-78, 2009, ACM, 978-1-60558-347-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
data context, data context analysis, program understanding, placement optimization |
22 | Behzad Sajed Khosrowshahi, Peter Graham |
Component placement and location for a dynamic software composition system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
C3S2E ![In: Canadian Conference on Computer Science & Software Engineering, C3S2E 2009, Montreal, Quebec, Canada, May 19-21, 2009, Proceedings, pp. 127-130, 2009, ACM, 978-1-60558-401-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
component location, component placement, service composition, software components |
22 | Leonor Albuquerque Melo |
Multi-colony ant colony optimization for the node placement problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO (Companion) ![In: Genetic and Evolutionary Computation Conference, GECCO 2009, Proceedings, Montreal, Québec, Canada, July 8-12, 2009, Companion Material, pp. 2713-2716, 2009, ACM, 978-1-60558-505-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multi-colony, node placement problem, ant colony optimization |
22 | Ju-Chao Zhuo, Jun Li, Gang Wu |
Study of cache placement for time-shifted TV cluster using genetic algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GEC Summit ![In: Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation, GEC Summit 2009, Shanghai, China, June 12-14, 2009, pp. 781-786, 2009, ACM, 978-1-60558-326-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cache placement, time-shifted tv (tstv), genetic algorithm, blocking probability |
22 | Eric Becker, Gutemberg Guerra-Filho, Fillia Makedon |
Automatic sensor placement in a 3D volume. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PETRA ![In: Proceedings of the 2nd International Conference on Pervasive Technologies Related to Assistive Environments, PETRA 2009, Corfu, Greece, June 9-13, 2009, 2009, ACM, 978-1-60558-409-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
sensor placement |
22 | François Bérard, Jessica Ip, Mitchel Benovoy, Dalia El-Shimy, Jeffrey R. Blum, Jeremy R. Cooperstock |
Did "Minority Report" Get It Wrong? Superiority of the Mouse over 3D Input Devices in a 3D Placement Task. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INTERACT (2) ![In: Human-Computer Interaction - INTERACT 2009, 12th IFIP TC 13 International Conference, Uppsala, Sweden, August 24-28, 2009, Proceedings, Part II, pp. 400-414, 2009, Springer, 978-3-642-03657-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
3D placement, input device, stress |
22 | Mojtaba Mehrara, Todd M. Austin |
Exploiting selective placement for low-cost memory protection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(3), pp. 14:1-14:24, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Partial memory protection, selective placement, transient faults, fault-tolerant design, memory system design |
22 | Steven Noel, Sushil Jajodia |
Optimal IDS Sensor Placement and Alert Prioritization Using Attack Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Netw. Syst. Manag. ![In: J. Netw. Syst. Manag. 16(3), pp. 259-275, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Topological vulnerability analysis, Intrusion detection, Attack graphs, Sensor placement |
22 | Bjorn De Sutter, Paul Coene, Tom Vander Aa, Bingfeng Mei |
Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008, pp. 151-160, 2008, ACM, 978-1-60558-104-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
register allocation, placement and routing, coarse-grained, reconfigurable arrays |
22 | Yasir Drabu, Hassan Peyravi |
Gateway Placement with QoS Constraints in Wireless Mesh Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN ![In: Seventh International Conference on Networking (ICN 2008), 13-18 April 2008, Cancun, Mexico, pp. 46-51, 2008, IEEE Computer Society, 978-0-7695-3106-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
mesh deployment, wireless mesh networks, gateway placement |
22 | Akshat Verma, Puneet Ahuja, Anindya Neogi |
Power-aware dynamic placement of HPC applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 22nd Annual International Conference on Supercomputing, ICS 2008, Island of Kos, Greece, June 7-12, 2008, pp. 175-184, 2008, ACM, 978-1-60558-158-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
power management, placement, high performance |
22 | Hao Li, Yue Zhuo |
Criticality history guided FPGA placement algorithm for timing optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 267-272, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
fpga, placement, timing optimization |
22 | Ming-Fang Lai, Hung-Ming Chen |
An Implementation of Performance-Driven Block and I/O Placement for Chip-Package Codesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 604-607, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Chip-Package Codesign, I/O Placement, Power Integrity |
22 | Abdelfatteh Haidine, Ralf Lehnert |
Placement of Base Stations in Broadband Power Line Communications Access Networks by Means of Multi-criteria Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AccessNets ![In: AccessNets, Third International Conference on Access Networks, AccessNets 2008, Las Vegas, NV, USA, October 15-17, 2008. Revised Papers, pp. 176-191, 2008, Springer, 978-3-642-04647-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
uplink delay, Broadband Power-Line Communications (B-PLC), access network planning, generalized base station placement, multi-criteria optimization, network costs |
22 | Zhijia Chen, Chuang Lin 0002, Hao Yin, Bo Li 0001 |
On the Server Placement Problem of P2P Live Media Streaming System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCM ![In: Advances in Multimedia Information Processing - PCM 2008, 9th Pacific Rim Conference on Multimedia, Tainan, Taiwan, December 9-13, 2008. Proceedings, pp. 178-187, 2008, Springer, 978-3-540-89795-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
P2P, CDN, Media Streaming, Server Placement |
22 | Kenneth Eguro, Scott Hauck |
Enhancing timing-driven FPGA placement for pipelined netlists. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 34-37, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
timing-driven, FPGA, simulated annealing, pipelined, placement |
22 | Aaron So, Ben Liang 0001 |
Enhancing WLAN Capacity by Strategic Placement of Tetherless Relay Points. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 6(5), pp. 522-535, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
tetherless relay point, capacity improvement, Wireless local area network, mathematical programming/optimization, placement optimization |
22 | Claudio Vicari, Chiara Petrioli, Francesco Lo Presti |
Dynamic replica placement and traffic redirection in content delivery networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS Perform. Evaluation Rev. ![In: SIGMETRICS Perform. Evaluation Rev. 35(3), pp. 66-68, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
dynamic replica placement, user requests redirection, content delivery networks, content access |
22 | Errol L. Lloyd, Guoliang Xue |
Relay Node Placement in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(1), pp. 134-138, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wireless sensor networks, approximation algorithms, Relay node placement |
22 | Keqiu Li, Hong Shen 0001, Francis Y. L. Chin, Weishi Zhang |
Multimedia Object Placement for Transparent Data Replication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(2), pp. 212-224, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
transparent data access, optimization, multimedia, transcoding, Web caching, object placement |
22 | Changsheng Xie, Xu Li, Qinqi Wei, Qiang Cao 0001 |
EOP: An Efficient Object Placement and Location Algorithm for OBS Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing, 7th International Conference, ICA3PP 2007, Hangzhou, China, June 11-14, 2007, Proceedings, pp. 222-230, 2007, Springer, 978-3-540-72904-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
interval mapping, hash function, OBS, object placement |
22 | Gustavo Sánchez, Minaya Villasana, Miguel Strefezza |
Multi-objective Pole Placement with Evolutionary Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMO ![In: Evolutionary Multi-Criterion Optimization, 4th International Conference, EMO 2007, Matsushima, Japan, March 5-8, 2007, Proceedings, pp. 417-427, 2007, Springer, 3-540-70927-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Multi-objective control, Pole placement, Evolutionary Algorithms |
Displaying result #501 - #600 of 16451 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|