The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for silicon with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1967 (15) 1968-1978 (17) 1979-1982 (16) 1983-1984 (21) 1985 (26) 1986 (16) 1987 (23) 1988 (26) 1989 (32) 1990 (50) 1991 (43) 1992 (24) 1993 (40) 1994 (33) 1995 (56) 1996 (44) 1997 (77) 1998 (106) 1999 (116) 2000 (117) 2001 (156) 2002 (164) 2003 (258) 2004 (249) 2005 (338) 2006 (551) 2007 (802) 2008 (409) 2009 (295) 2010 (207) 2011 (290) 2012 (258) 2013 (438) 2014 (514) 2015 (382) 2016 (374) 2017 (597) 2018 (481) 2019 (351) 2020 (356) 2021 (349) 2022 (355) 2023 (342) 2024 (54)
Publication types (Num. hits)
article(2868) book(10) data(3) incollection(34) inproceedings(6355) phdthesis(171) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2164 occurrences of 1285 keywords

Results
Found 9468 publication records. Showing 9468 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Eugenio Culurciello, Andreas G. Andreou Capacitive coupling of data and power for 3D silicon-on-insulator VLSI. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15André van Schaik, Shih-Chii Liu AER EAR: a matched silicon cochlea pair with address event representation interface. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Francesco Carrara, Angelo Scuderi, Antonio Scuderi, Geraldo Bottiglieri, Giuseppe Palmisano Silicon bipolar linear power amplifier for WCDMA mobile applications. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Eugenio Culurciello, Philippe O. Pouliquen, Andreas G. Andreou, Kim Strohbehn, Steven E. Jaskulek A monolithic isolation amplifier in silicon-on-insulator CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15José L. Núñez-Yáñez, Vassilios A. Chouliaras Design and Implementation of a High-Performance and Silicon Efficient Arithmetic Coding Accelerator for the H.264 Advanced Video Codec. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Carlo Roma, Pierluigi Daglio, Guido De Sandre, Marco Pasotti, Marco Poles How Circuit Analysis and Yield Optimization Can Be Used To Detect Circuit Limitations Before Silicon Results. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Brian Taba, Kwabena Boahen 0001 Balancing Guidance Range and Strength Optimizes Self-organization by Silicon Growth Cones. Search on Bibsonomy ICANN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Doug Burger, Stephen W. Keckler, Kathryn S. McKinley, Michael Dahlin, Lizy Kurian John, Calvin Lin, Charles R. Moore, James H. Burrill, Robert G. McDonald, William Yode Scaling to the End of Silicon with EDGE Architectures. Search on Bibsonomy Computer The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Swapnil Bahl A Novel Method for Silicon Configurable Test Flow and Algorithms for Testing, Debugging and Characterizing Different Types of Embedded Memories through a Shared Controller. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jonathan Rose Hard vs. Soft: The Central Question of Pre-Fabricated Silicon. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Shanrui Zhang, Minsu Choi, Nohpill Park Modeling Yield of Carbon-Nanotube/Silicon-Nanowire FET-Based Nanoarray Architecture with h-hot Addressing Scheme. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15S. S. Prasad, Pradip Mandal A CMOS Beta Multiplier Voltage Reference with Improved Temperature Performance and Silicon Tunability. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Ghanshyam Nayak, P. R. Mukund Chip Package Co-Design of a Heterogeneously Integrated 2.45GHz CMOS VCO using Embedded Passives in a Silicon Package. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15P. R. Suresh, P. K. Sundararajan, Anshuli Goel, H. Udayakumar, C. Srinivasan, Vasudev Sinari, Raghavendrakumar Ravinutala Package-silicon co-design - Experiment with an SOC design. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Gaye Lightbody, Roger F. Woods, Richard L. Walke Design of a parameterizable silicon intellectual property core for QR-based RLS filtering. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Alyssa B. Apsel, Eugenio Culurciello, Andreas G. Andreou, K. Aliberti Thin film PIN photodiodes for optoelectronic silicon on sapphire CMOS. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Te-Hsin Huang, Ertan Zencir, Mehmet R. Yuce, Numan Sadi Dogan, Wentai Liu, Ercument Arvas A 22-mW 435 MHz silicon on insulator CMOS high-gain LNA for subsampling receivers. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Seiji Kameda, Tetsuya Yagi A silicon retina system that calculates direction of motion. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, T. M. Mak Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15 News: Intel expands advanced 300-mm wafer production; IBM claims world's smallest computer circuits; IEEE 802.11b chip market growth; Samsung extends system LSI commitment; light-emitting silicon; new gas sensors. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Steven C. Chan, Kenneth L. Shepard, Dae-Jin Kim Static noise analysis for digital integrated circuits in partially depleted silicon-on-insulator technology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Blaise Gassend, Dwaine E. Clarke, Marten van Dijk, Srinivas Devadas Silicon physical random functions. Search on Bibsonomy CCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF physical random function, unclonability, identification, smartcard, tamper resistance, physical security
15Tal Lavian, Phil Wang, Franco Travostino, Siva Subramanian, Ramesh Durairaj, Doan B. Hoang, Vijak Sethaput, David E. Culler Enabling Active Flow Manipulation in Silicon-Based Network Forwarding Engines. Search on Bibsonomy DANCE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Todd E. Peterson, D. W. Wilson, Harrison H. Barrett A small-animal imaging system based on silicon strip detectors. Search on Bibsonomy ISBI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Kees Goossens, Paul Wielage, Ad M. G. Peeters, Jef L. van Meerbergen Networks on Silicon: Combining Best-Effort and Guaranteed Services. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Paul Wielage, Kees Goossens Networks on Silicon: Blessing or Nightmare? Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Mohammad Al-Towaiq, Huda Al-Aamri A Parallel Implementation of GESPP on a Cluster of Silicon Graphics Workstations. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Algorithms, Parallel Computing, Linear Systems
15Fernando Silveira, Denis Flandre A 110 nA pacemaker sensing channel in CMOS on silicon-on-insulator. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Yuri E. Gorbachev, Mikhail A. Zatevakhin, Valeria V. Krzhizhanovskaya, A. A. Ignatiev, V. K. Protopopov, N. V. Sokolova, A. B. Witenberg Distributed Simulation of Amorphous Hydrogenated Silicon Films: Numerical Experiments on a Linux Based Computing Environment. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Valeria V. Krzhizhanovskaya, Mikhail A. Zatevakhin, A. A. Ignatiev, Yuri E. Gorbachev, Peter M. A. Sloot Distributed Simulation of Silicon-Based Film Growth. Search on Bibsonomy PPAM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15B. Prasad, P. J. George, Chandra Shekhar 0001 High Frequency Behaviour Of Electron Transport In Silicon And Its Implication For Drain Conductance Of Mos Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15B. Senapati, Chinmay K. Maiti, Nirmal B. Chakrabarti Silicon Heterostructure Devices for RF Wireless Communication. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SiGe-HBT, planar inductor, RF amplifier, RFICs
15Kenneth L. Shepard, Dae-Jin Kim Static noise analysis for digital integrated circuits in partially-depleted silicon-on-insulator technology. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Jonghan Shin, Christof Koch Dynamic range and sensitivity adaptation in a silicon spiking neuron. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15D. Guillet, K. Mourgues, R. Rogel, H. Lhermite, Olivier Bonnaud Student Realization in Cleanroom of Silicon-Germanium Thin Film Transistors. Search on Bibsonomy MSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Jonathan Babb, Martin C. Rinard, Csaba Andras Moritz, Walter Lee, Matthew I. Frank, Rajeev Barua, Saman P. Amarasinghe Parallelizing Applications into Silicon. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Theo A. C. M. Claasen The Changing Semiconductor Industry: From Components to Silicon Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Kurt Keutzer, A. Richard Newton The MARCO/DARPA Gigascale Silicon Research Center. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Philipp Häfliger, Christoph Rasche Floating gate analog memory for parameter and variable storage in a learning silicon neuron. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Tadashi Shibata Right brain computing hardware: a psychological brain model on silicon. Search on Bibsonomy KES (3) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Herbert Knapp, Wilhelm Wilhelm, Mira Rest, Hans-Peter Trost A 3.8-mW 2.5-GHz dual-modulus prescaler in a 0.8 µm silicon bipolar production technology. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Young-Jun Kwon, Ben Mathew, Hong Hao FakeFault: a silicon debug software tool for microprocessor embedded memory arrays. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15S. Samel, Bert Gyselinckx, Ivo Bolsens, Hugo De Man Designing Systems On Silicon: A Digital Spread Spectrum Pager. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF System Design Methodologies, HW/SW Co-design, DSP Applications
15Yevgeny V. Mamontov, Magnus Willander Accounting thermal noise in mathematical models of quasi-homogeneous regions in silicon devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Frans P. M. Beenker, Barry J. Dekker, Richard Stans, Max van der Star Implementing Macro Test in Silicon Compiler Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15J. Zegers, Paul Six, Jan M. Rabaey, Hugo De Man CGE: automatic generation of controllers in the CATHEDRAL-II silicon compiler. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Mehrdad Negahban, Daniel Gajski Silicon compilation of switched: capacitor networks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Philippe Bondono, Ahmed Amine Jerraya, Armand Hornik, Bernard Courtois, D. Bonifas NAUTILE: a safe environment for silicon compilation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15D. David Forsythe, Atul P. Agarwal, Chune-Sin Yeh, Sheldon Aronowitz, Bhaskar Gadepally NASFLOW, a Simulation Tool for Silicon Technology Development. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Richard W. Linderman, Paul C. Rossbach, David M. Gallagher Design and application of an optimizing XROM silicon compiler. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Toshiaki Tanaka, Tsutomu Kobayashi, Osamu Karatsu HARP: FORTRAN to silicon [compilation system]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Baher Haroun, Mohamed I. Elmasry Architectural synthesis for DSP silicon compilers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Stephen Nelson Using silicon and gallium arsenide technologies for new supercomputer design. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Gotaro Odawara, Masahiro Tomita, Kazuhiko Hattori, Osamu Okuzawa, Toshiaki Hirata, Masayasu Ochiai A Human Machine Interface for Silicon Compilation. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
15Raul Camposano Design Process Model in the Yorktown Silicon Compiler. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
15Richard I. Hartley, Peter F. Corbett A Digit-Serial Silicon Compiler. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
15Johannes Schuck, Norbert Wehn, Manfred Glesner, G. Kamp The ALGIC Silicon Compiler System: Implementation, Design Experience and Results. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15David E. Krekelberg, Eugene Shragowitz, Gerald E. Sobelman, Li-Shin Lin Automated layout synthesis in the YASC silicon compiler. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Manfred Glesner, Johannes Schuck, R. B. Steck SCAT - a new statistical timing verifier in a silicon compiler system. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Russel L. Steinweg, Susan J. Aguirre, Kerry Pierce, Scott Nance Silicon compilation of gate array bases. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
15Timothy Blackman, Jeffrey R. Fox, Christopher Rosebrugh The Silc silicon compiler: language and features. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
15David E. Krekelberg, Gerald E. Sobelman, Chu S. Jhon Yet another silicon compiler. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12Matthew Canton The presence table: a reactive surface for ambient connection. Search on Bibsonomy TEI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Jieyi Long, Seda Ogrenci Memik A framework for optimizing thermoelectric active cooling systems. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF thermal runaway, thermoelectric cooling, optimization
12Samta Bansal, Juan C. Rey, Andrew Yang, Myung-Soo Jang, L. C. Lu, Philippe Magarshack, Pol Marchal, Riko Radojcic 3-D stacked die: now or future? Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF integrated circuits, 3-D
12Zhengfei Wang, Huaixiu Zheng, Qinwei Shi, Jie Chen 0002 Emerging nanodevice paradigm: Graphene-based electronics for nanoscale computing. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Graphene device, negative differential resistance, tight-binding model, memory structure
12Zheng Li, Ahalapitiya H. Jayatissa, Lash Mapa, Ezzatollah Salari, A. C. Jayasuriya Electrochemical sensors for detection of biomolecules. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Elena Angeli, Chiara Manneschi, Luca Repetto, Giuseppe Firpo, Corrado Boragno, Ugo Valbusa Fabrication of Elastomeric Nanofluidic Devices for Manipulation of Long DNA Molecules. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nanochannels, DNA Separation, Nanoconfinement, PDMS
12Raúl Martínez, Francisco José Alfaro, José L. Sánchez 0002, José M. Claver Hardware Implementation Study of the SCFQ-CA and DRR-CA Scheduling Algorithms. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Xiaosong Sun Small Structure State Detection with Fiber-optic Sensors and Neural Network. Search on Bibsonomy WKDD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Yi-Chi Chen, Hui-Chin Yang, Chung-Ping Chung, Wei-Ting Wang Dynamic Reconfigurable Shaders with Load Balancing for Embedded Graphics Processing. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Duo Ding, David Z. Pan OIL: a nano-photonics optical interconnect library for a new photonic networks-on-chip architecture. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF photonic networks-on-chip, low power, computer aided design, high performance
12Tomohisa Ito, Takeshi Yamaguchi, Hiroshi Yoshikawa High resolution computer-generated cylindrical hologram. Search on Bibsonomy IUCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computer-generated hologram, cylindrical hologram, fringe printer, holography
12Roto Le, Sherief Reda, R. Iris Bahar High-performance, cost-effective heterogeneous 3D FPGA architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D integrated circuits, heterogeneous FPGA design
12Rakesh S. Anigundi, Hongbin Sun 0001, Jian-Qiang Lu, Kenneth Rose, Tong Zhang 0002 Architecture design exploration of three-dimensional (3D) integrated DRAM. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Yongquan Fan, Zeljko Zilic Accelerating jitter tolerance qualification for high speed serial interfaces. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Bangli Liang, Zhigong Wang, Dianyong Chen, Bo Wang, Guohui Situ, Tad A. Kwasniewski A full-rate truly monolithic CMOS CDR for low-cost applications. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Sudeep Pasricha Exploring serial vertical interconnects for 3D ICs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF serial interconnect, VLSI, networks on chip, 3D ICs
12Nishant Patil, Albert Lin, Jie Zhang 0007, H.-S. Philip Wong, Subhasish Mitra Digital VLSI logic technology using Carbon Nanotube FETs: frequently asked questions. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CNFET, carbon nanotube transistor, carbon nanotubes
12Andrzej J. Strojwas, Tejas Jhaveri, Vyacheslav Rovner, Lawrence T. Pileggi Creating an affordable 22nm node using design-lithography co-optimization. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design technology co-optimization, templates, DFM, regular fabric
12Xiaofeng Wu 0001, Vassilios A. Chouliaras, José L. Núñez-Yáñez, Roger M. Goodall A Novel Delta Sigma Control System Processor and Its VLSI Implementation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Nazish Aslam, Mark Milward, Ahmet T. Erdogan, Tughrul Arslan Code Compression and Decompression for Coarse-Grain Reconfigurable Architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Nishant Patil, Jie Deng, Albert Lin, H.-S. Philip Wong, Subhasish Mitra Design Methods for Misaligned and Mispositioned Carbon-Nanotube Immune Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Stephen K. Sunter, Aubin Roy Noise-Insensitive Digital BIST for any PLL or DLL. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BIST, Jitter, Design for test, PLL, Mixed-signal test, DLL
12Chao-Wen Tzeng, Jheng-Syun Yang, Shi-Yu Huang A versatile paradigm for scan chain diagnosis of complex faults using signal processing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF profiling, design for testability, Diagnosis, fault, scan chain
12David Heriban, Michaël Gauthier Robotic micro-assembly of microparts using a piezogripper. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Bonesi Stefano, Davide Bertozzi, Luca Benini, Enrico Macii Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Kaiyu Chen, Sharad Malik, Priyadarsan Patra Runtime validation of memory ordering using constraint graph checking. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Grant Martin Panel: Best ways to use billions of devices on a chip. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Noel Tomás, Julio Sahuquillo, Salvador Petit, Pedro López 0001 Reducing the Number of Bits in the BTB to Attack the Branch Predictor Hot-Spot. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Luc Ceuppens, Alan Sardella, Daniel Kharitonov Power Saving Strategies and Technologies in Network Equipment Opportunities and Challenges, Risk and Rewards. Search on Bibsonomy SAINT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network, power, routers, green
12Igor Loi, Subhasish Mitra, Thomas H. Lee, Shinobu Fujita, Luca Benini A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Tao Chen 0010, Liguo Chen, Lining Sun, Jiachou Wang, Xinxin Li A Sidewall Piezoresistive Force Sensor Used in a MEMS Gripper. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microgripper, Sidewall piezoresistive sensor, MEMS
12Shan Yan, Bill Lin 0001 Design of application-specific 3D Networks-on-Chip architectures. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Andrea Pellegrini, Kypros Constantinides, Dan Zhang 0004, Shobana Sudhakar, Valeria Bertacco, Todd M. Austin CrashTest: A fast high-fidelity FPGA-based resiliency analysis framework. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Daniel Matolin, Christoph Posch, Rainer Wohlgenannt, Thomas Maier A 64×64 pixel temporal contrast microbolometer infrared sensor. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Liang Lu, John V. McCanny, Sakir Sezer Multi-standard sub-pixel interpolation architecture for video Motion Estimation. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Richard Ficek, Radimir Vrba, Ondrej Jasek, Marek Eliás 0002, Lenka Zajickova, Hana Hornochova Carbon Nanostructures in MEMS Applications. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field emission current, MEMS, Carbon nanotubes, pressure sensor
12Tak H. Ning GLSVLSI 2008 invited/keynote talk. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF soi, cmos scaling
12Hongbin Sun 0001, Nanning Zheng 0001, Tong Zhang 0002 Realization of L2 Cache Defect Tolerance Using Multi-bit ECC. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 9468 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license