The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for subthreshold with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (20) 1995-1998 (15) 1999-2000 (19) 2001 (25) 2002 (23) 2003 (26) 2004 (41) 2005 (53) 2006 (76) 2007 (76) 2008 (62) 2009 (59) 2010 (46) 2011 (40) 2012 (47) 2013 (38) 2014 (36) 2015 (42) 2016 (42) 2017 (42) 2018 (42) 2019 (43) 2020 (36) 2021 (27) 2022 (27) 2023 (27) 2024 (4)
Publication types (Num. hits)
article(472) incollection(7) inproceedings(551) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 310 occurrences of 197 keywords

Results
Found 1034 publication records. Showing 1034 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Charalambos M. Andreou, Alessandro Paccagnella, Diego González Castaño, Faustino Gómez Rodríguez, Valentino Liberali, Alexander V. Prokofiev, Cristiano Calligaro, Arto Javanainen, Ari Virtanen, Daniel Nahmad, Julius Georgiou A subthreshold, low-power, RHBD reference circuit, for earth observation and communication satellites. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Tzu-Yun Wang, Li-Han Liu, Min-Rui Lai, Sheng-Yu Peng Linearity efficiency factor and power-efficient operational transconductance amplifier in subthreshold operation. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15A. R. Aravinth Kumar, Shiv Govind Singh, Ashudeb Dutta Low power reconfigurable multi-mode LNA utilizing subthreshold bias and low-Q inductors. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jize Jiang, Wei Shu, Joseph Sylvester Chang, Jingyuan Liu A novel subthreshold voltage reference featuring 17ppm/°C TC within -40°C to 125°C and 75dB PSRR. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Mahsa Shoaran, Armin Tajalli, Massimo Alioto, Yusuf Leblebici Jitter analysis and measurement in subthreshold source-coupled differential ring oscillators. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jian Li, Jiancheng Li, Li Yang A nanopower, high PSRR full CMOS voltage reference circuit consisting of subthreshold MOSFETs. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jiangzheng Cai, Jia Yuan, Liming Chen 0007, Yong Hei A design of subthreshold SRAM cell based on RSCE and RNCE. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Yongquan Li, Mei Jiang, Liangwei Cai A 30 nA, 6.6 ppm/°C, high PSRR subthreshold CMOS voltage reference. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel Design of analog subthreshold Encoded Neural Network circuit in sub-100nm CMOS. Search on Bibsonomy IJCNN The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Vinay M. M., Roy P. Paily, Anil Mahanta A low-power subthreshold LNA for mobile applications. Search on Bibsonomy VDAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Meriam Gay Bautista, Eryk Dutkiewicz, Michael Heimlich Subthreshold Energy Harvesters Circuits for Biomedical Implants Applications. Search on Bibsonomy BODYNETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Horacio G. Rotstein Subthreshold Amplitude and Phase Resonance in Single Cells. Search on Bibsonomy Encyclopedia of Computational Neuroscience The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Hyoyoung Shin, Youngkyu Park, Gihwa Lee, Jungsik Park, Sungho Kang Interleaving Test Algorithm for Subthreshold Leakage-Current Defects in DRAM Considering the Equal Bit Line Stress. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Mahmood Khayatzadeh, Yong Lian 0001 Average-8T Differential-Sensing Subthreshold SRAM With Bit Interleaving and 1k Bits Per Bitline. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Michael X. Cohen, Simon van Gaal Subthreshold muscle twitches dissociate oscillatory neural signatures of conflicts from errors. Search on Bibsonomy NeuroImage The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Domenico Albano, Felice Crupi, Francesca Cucchi, Giuseppe Iannaccone A picopower temperature-compensated, subthreshold CMOS voltage reference. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Luca Magnelli, Francesco A. Amoroso, Felice Crupi, Gregorio Cappuccino, Giuseppe Iannaccone Design of a 75-nW, 0.5-V subthreshold complementary metal-oxide-semiconductor operational amplifier. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yanhan Zeng, Yuankun Xu, Miaowang Zeng, Hong-Zhou Tan An output-capacitor-free low-dropout regulator with subthreshold slew-rate enhancement technique. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yogesh Pratap, Pujarini Ghosh, Subhasis Haldar, R. S. Gupta, Mridula Gupta An analytical subthreshold current modeling of cylindrical gate all around (CGAA) MOSFET incorporating the influence of device design engineering. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Abhijit Biswas, Swagata Bhattacherjee Temperature dependent model for threshold voltage and subthreshold slope of strained-Si channel MOSFETs with a polysilicon gate. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Atanu Kundu 0001, Kalyan Koley, Arka Dutta, Chandan Kumar Sarkar Impact of gate metal work-function engineering for enhancement of subthreshold analog/RF performance of underlap dual material gate DG-FET. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Cong Li, Yiqi Zhuang, Ru Han, Gang Jin Subthreshold behavior models for short-channel junctionless tri-material cylindrical surrounding-gate MOSFET. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Rajni Gautam, Manoj Saxena, R. S. Gupta, Mridula Gupta Temperature dependent subthreshold model of long channel GAA MOSFET including localized charges to study variations in its temperature sensitivity. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Byung-Do Yang 250-mV Supply Subthreshold CMOS Voltage Reference Using a Low-Voltage Comparator and a Charge-Pump Circuit. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15S. Rasool Hosseini, Mehdi Saberi, Reza Lotfi A Low-Power Subthreshold to Above-Threshold Voltage Level Shifter. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Roghayeh Saeidi, Mohammad Sharifkhani, Khosrow Hajsadeghi A Subthreshold Symmetric SRAM Cell With High Read Stability. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yi-Wei Chiu, Yu-Hao Hu, Ming-Hsien Tu, Jun-Kai Zhao, Yuan-Hua Chu, Shyh-Jye Jou, Ching-Te Chuang 40 nm Bit-Interleaving 12T Subthreshold SRAM With Data-Aware Write-Assist. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15L. Darrell Whitley, Jonathan E. Rowe Single-Funnel and Multi-funnel Landscapes and Subthreshold-Seeking Behavior. Search on Bibsonomy Theory and Principled Methods for the Design of Metaheuristics The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Gaspard Hiblot, Quentin Rafhay, Frédéric Boeuf, Gérard Ghibaudo Impact of quantum modulation of the inversion charge in the MOSFET subthreshold regime. Search on Bibsonomy ESSDERC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Farshad Moradi, Jens Kargaard Madsen Robust subthreshold 7T-SRAM cell for low-power applications. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Munem Hossain, Masud H. Chowdhury Transistor doping profile optimization for low power subthreshold circuits. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Shilpa Pendyala, Srinivas Katkoori Interval Arithmetic and Self Similarity Based Subthreshold Leakage Optimization in RTL Datapaths. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Magne Voernes, Trond Ytterdal, Snorre Aunet Performance comparison of 5 subthreshold CMOS flip-flops under process-, voltage-, and temperature variations, based on netlists from layout. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Nikola Katic, Ibrahim Kazi, Armin Tajalli, Alexandre Schmid, Yusuf Leblebici A 5.43-μW 0.8-V subthreshold current-sensing ΣΔ modulator for low-noise sensor interfaces. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Tapani Nevalainen, Tero Koivisto, Mikko Pänkäälä Subthreshold nano-watt front-end amplifier for wireless ECG applications. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Jonathan Edvard Bjerkedok, Ali Asghar Vatanjou, Trond Ytterdal, Snorre Aunet Modular layout-friendly cell library design applied for subthreshold CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Marco Lanuzza, Ramiro Taco, Domenico Albano Dynamic gate-level body biasing for subthreshold digital design. Search on Bibsonomy LASCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Weiwei Shi 0001, Oliver Chiu-sing Choy Subthreshold passive RF tag's PIE decoder design with wide tolerance and custom ratioed logic cells. Search on Bibsonomy ICECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Myungjoon Choi, Inhee Lee, Tae-Kwang Jang, David T. Blaauw, Dennis Sylvester A 23pW, 780ppm/°C resistor-less current reference using subthreshold MOSFETs. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Zhiliang Qiao, Xiong Zhou, Qiang Li 0021 A 250mV 77dB DR 10kHz BW SC ΔΣ Modulator Exploiting Subthreshold OTAs. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Kazunari Kato, Yasuhiro Takahashi, Toshikazu Sekine Two phase clocking subthreshold adiabatic logic. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Weiwei Shi 0001, Oliver Chiu-sing Choy A novel ratioed logic style for faster subthreshold digital circuits based on 90 nm CMOS and below. Search on Bibsonomy SoCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Mahmood Mohammed, Khaldoon Abugharbieh, Mahmoud Abdelfattah, Sanad Kawar Design of a voltage reference circuit based on subthreshold and triode MOSFETs in 90nm CMOS. Search on Bibsonomy ICICDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Shien-Chun Luo, Chi-Ray Huang, Lih-Yih Chiou An ultra-low-power adaptive-body-bias control for subthreshold circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15D. H. Lung, S. K. Hu, James B. Kuo, D. Chen, Y. J. Chen Parasitic BJT versus DIBL: Floating-body-related subthreshold characteristics of SOI NMOS device. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Kazunari Kato, Yasuhiro Takahashi, Toshikazu Sekine Skew tolerance analysis and layout design of 4×4 multiplier using two phase clocking subthreshold adiabatic logic. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Meng-Fan Chang, Che-Wei Wu, Jui-Yu Hung, Ya-Chin King, Chomg-Jung Lin, Mon-Shu Ho, Chia-Cheng Kuo, Shyh-Shyuan Sheu A low-power subthreshold-to-superthreshold level-shifter for sub-0.5V embedded resistive RAM (ReRAM) macro in ultra low-voltage chips. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Sven Lütkemeier, Thorsten Jungeblut, Hans Kristian Otnes Berge, Snorre Aunet, Mario Porrmann, Ulrich Rückert 0001 A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Ryo Takahashi, Makoto Takamiya, Masahiro Nomura, Hirofumi Shinohara, Takayasu Sakurai Increase of Crosstalk Noise Due to Imbalanced Threshold Voltage Between nMOS and pMOS in Subthreshold Logic Circuits. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Julia Berzhanskaya, Nick Chernyy, Bruce J. Gluckman, Steven J. Schiff, Giorgio A. Ascoli Modulation of hippocampal rhythms by subthreshold electric fields and network topology. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Jason C. Wester, Diego Contreras Biophysical mechanism of spike threshold dependence on the rate of rise of the membrane potential by sodium channel inactivation or subthreshold axonal potassium current. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Asaf Kaizerman, Sagi Fisher, Alexander Fish Subthreshold Dual Mode Logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Natan Krihely, Sam Ben-Yaakov, Alexander Fish Efficiency Optimization of a Step-Down Switched Capacitor Converter for Subthreshold. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Chen-Wei Lin, Hung-Hsin Chen, Hao-Yu Yang, Chin-Yuan Huang, Mango Chia-Tso Chao, Rei-Fu Huang Fault Models and Test Methods for Subthreshold SRAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Tan-Tan Zhang, Pui-In Mak, Mang I Vai, Peng Un Mak, Man-Kay Law, Sio-Hang Pun, Feng Wan, Rui Paulo Martins 15-nW Biopotential LPFs in 0.35-µm CMOS Using Subthreshold-Source-Follower Biquads With and Without Gain Compensation. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Shoucai Yuan, Yuan Li, Yifang Yuan, Yamei Liu Pass transistor with dual threshold voltage domino logic design using standby switch for reduced subthreshold leakage current. Search on Bibsonomy Microelectron. J. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15S. D. Pable, Mohd. Hasan, Shuja A. Abbasi, Abdul Rahman M. Alamoud Interconnect optimization to enhance the performance of subthreshold circuits. Search on Bibsonomy Microelectron. J. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15D. Nirmal, P. Vijayakumar 0003, Divya Mary Thomas, Binola K. Jebalin, N. Mohankumar 0002 Subthreshold performance of gate engineered FinFET devices and circuit with high-k dielectrics. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Toufik Bentrcia, Fayçal Djeffal, M. Chahdi An analytical two dimensional subthreshold behavior model to study the nanoscale GCGS DG Si MOSFET including interfacial trap effects. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Chun-hsiang Chang, Marvin Onabajo IIP3 Enhancement of Subthreshold Active Mixers. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Li Lu 0004, Bozorgmehr Vosooghi, Jinghong Chen, Changzhi Li A Subthreshold-MOSFETs-Based Scattered Relative Temperature Sensor Front-End With a Non-Calibrated ±2.5°C 3σ Relative Inaccuracy From -40°C to 100°C. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Igors Homjakovs, Tetsuya Hirose, Yuji Osaki, Masanori Hashimoto, Takao Onoye A 0.8-V 110-nA CMOS current reference circuit using subthreshold operation. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Michael J. Roy, Michelle E. Costanzo, Tanja Jovanovic, Suzanne Leaman, Patricia L. Taylor, Seth D. Norrholm, Albert A. Rizzo Heart Rate Response to Fear Conditioning and Virtual Reality in Subthreshold PTSD. Search on Bibsonomy Annual Review of Cybertherapy and Telemedicine The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Hans Kristian Otnes Berge, Snorre Aunet Yield-oriented energy and performance model for subthreshold circuits with Vth variations. Search on Bibsonomy DDECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Marc Pons 0001, Jean-Luc Nagel, Daniel Séverac, Marc-Nicolas Morgan, Daniel Sigg, Pierre-François Rüedi, Christian Piguet Ultra low-power standard cell design using planar bulk CMOS in subthreshold operation. Search on Bibsonomy PATMOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Tatsuo Kitajima, Zhonggang Feng Contribution of voltage-dependent ion channels to subthreshold resonance. Search on Bibsonomy ASCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Viviane S. Ghaderi, Dong Song, Jean-Marie C. Bouteiller, John Choma Jr., Theodore W. Berger A programmable analog subthreshold biomimetic model for bi-directional communication with the brain. Search on Bibsonomy EMBC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Azzedin D. Es-Sakhi, Masud H. Chowdhury Analytical model to estimate the subthreshold swing of SOI FinFET. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Abdoul Rjoub, Nedal Al Taradeh, Mamoun F. Al-Mistarihi Accurate subthreshold leakage model for nanoscale MOSFET transistor. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Mahmood Mohammed, Khaldoon Abugharbieh, Sanad Kawar Design of a sub 1-V MOSFET based voltage reference circuit operating in subthreshold region. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Babak Vazifehkhah Ghaffari, Shahrum Shah bin Abdullah, Mojgan Kouhnavard, Tatsuo Kitajima Contribution of NaP-Channels to the Property of Subthreshold Resonance Oscillation. Search on Bibsonomy AsiaSim The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Hossein Kassiri, Karim Abdelhalim, Roman Genov Low-distortion super-GOhm subthreshold-MOS resistors for CMOS neural amplifiers. Search on Bibsonomy BioCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Yi Li, Xu Cheng 0002, Yicheng Zhang, Weijing Shi, Jun Han 0003, Xiaoyang Zeng A highly energy-efficient compressed sensing encoder with robust subthreshold clockless pipeline for wireless BANs. Search on Bibsonomy BioCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Yi-Wei Chiu, Yu-Hao Hu, Ming-Hsien Tu, Jun-Kai Zhao, Shyh-Jye Jou, Ching-Te Chuang A 40 nm 0.32 V 3.5 MHz 11T single-ended bit-interleaving subthreshold SRAM with data-aware write-assist. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Chun-hsiang Chang, Marvin Onabajo Linearization of subthreshold low-noise amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Charalambos M. Andreou, Julius Georgiou An all-subthreshold, 0.75V supply, 2ppm/°C, CMOS Voltage Reference. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Chien-Yu Lu, Ching-Te Chuang A disturb-free subthreshold 9T SRAM cell with improved performance and variation tolerance. Search on Bibsonomy SoCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Weiwei Shi 0001, Oliver Chiu-sing Choy, Robert K. F. Teng Key component designs of subthreshold baseband processors in passive RF device. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Masanori Hashimoto Soft error immunity of subthreshold SRAM. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Ryo Takahashi, Makoto Takamiya, Masahiro Nomura, Hirofumi Shinohara, Takayasu Sakurai Variation-aware subthreshold logic circuit design. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Ping Xiang, Zhihao Ding, Guangxi Hu, Hui Chol Ri, Ran Liu 0001, Lingli Wang, Xing Zhou Analytic models for electric potential and subthreshold swing of the dual-material double-gate MOSFET. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Mukund Kalyanaraman, Michael Orshansky Novel strong PUF based on nonlinearity of MOSFET subthreshold operation. Search on Bibsonomy HOST The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Mohsen Jafari, Mohsen Imani, Mohammad Ansari, Morteza Fathipour, Nader Sehatbakhsh Design of an ultra-low power 32-bit adder operating at subthreshold voltages in 45-nm FinFET. Search on Bibsonomy DTIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Himadri Singh Raghav, Sachin Maheshwari, B. Prasad Singh Performance Analysis of Subthreshold 32-Bit Kogge-Stone Adder for Worst-Case-Delay and Power in Sub-micron Technology. Search on Bibsonomy VDAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Saima Cherukat, Vineet Sahula Variation Robust Subthreshold SRAM Design with Ultra Low Power Consumption. Search on Bibsonomy VDAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15J. Kevin Hicks, Dhireesha Kudithipudi Subthreshold Computing. Search on Bibsonomy Handbook of Energy-Aware and Green Computing The full citation details ... 2012 DBLP  BibTeX  RDF
15Ming-Hsien Tu, Jihi-Yu Lin, Ming-Chien Tsai, Chien-Yu Lu, Yuh-Jiun Lin, Meng-Hsueh Wang, Huan-Shun Huang, Kuen-Di Lee, Wei-Chiang Shih, Shyh-Jye Jou, Ching-Te Chuang A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Ming Gu 0008, Shantanu Chakrabartty Subthreshold, Varactor-Driven CMOS Floating-Gate Current Memory Array With Less Than 150-ppm/°K Temperature Sensitivity. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Phanumas Khumsat, Apisak Worapishet A 0.5-V R-MOSFET-C Filter Design Using Subthreshold R-MOSFET Resistors and OTAs With Cross-Forward Common-Mode Cancellation Technique. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester A Super-Pipelined Energy Efficient Subthreshold 240 MS/s FFT Core in 65 nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Shiwani Singh, Tripti Sharma, K. G. Sharma, B. P. Singh 9T Full Adder Design in Subthreshold Region. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15S. D. Pable, Mohd. Hasan Ultra-low-power signaling challenges for subthreshold global interconnects. Search on Bibsonomy Integr. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Adaptive Performance Compensation With In-Situ Timing Error Predictive Sensors for Subthreshold Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Junya Kawashima, Hiroshi Tsutsui, Hiroyuki Ochi, Takashi Sato A Variability-Aware Energy-Minimization Strategy for Subthreshold Circuits. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Angsuman Sarkar, Swapnadip De, Anup Dey, Chandan Kumar Sarkar 1/f noise and analogue performance study of short-channel cylindrical surrounding gate MOSFET using a new subthreshold analytical pseudo-two-dimensional model. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Hao Luo, Yan Han, Ray C. C. Cheung, Guo Liang, Dazhong Zhu Subthreshold CMOS voltage reference circuit with body bias compensation for process variation. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Shahin Sanayei Lotfabadi, Andy Gean Ye, Sridhar Krishnan 0001 Measuring the power efficiency of subthreshold FPGAs for implementing portable biomedical applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Linlin Liu, Zunchao Li A quantum-confinement model for surrounding-gate MOSFETS from subthreshold to strong-inversion regions. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Dheeraj Sharma, Santosh Kumar Vishvakarma Analytical modeling for 3D potential distribution of rectangular gate (RecG) gate-all-around (GAA) MOSFET in subthreshold and strong inversion regions. Search on Bibsonomy Microelectron. J. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Kalyan Koley, Binit Syamal, Atanu Kundu 0001, N. Mohankumar 0002, Chandan Kumar Sarkar Subthreshold analog/RF performance of underlap DG FETs with asymmetric source/drain extensions. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1034 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license