The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASICs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1990 (27) 1991-1993 (18) 1994-1995 (26) 1996 (19) 1997 (18) 1998 (21) 1999 (23) 2000 (31) 2001 (25) 2002 (40) 2003 (43) 2004 (51) 2005 (36) 2006 (45) 2007 (41) 2008 (47) 2009 (20) 2010-2011 (21) 2012-2013 (17) 2014-2015 (21) 2016-2018 (26) 2019-2020 (22) 2021-2022 (16) 2023-2024 (11)
Publication types (Num. hits)
article(136) book(1) incollection(1) inproceedings(513) phdthesis(14)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 618 occurrences of 392 keywords

Results
Found 665 publication records. Showing 665 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Thierry J.-F. Omnés, Francky Catthoor, Thierry Franzetti Multi-dimensional Selection Techniques for Minimizing Memory Bandwidth in High-Throughput Embedded Systems. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Michel Renovell A Specific Test Methodology for Symmetric SRAM-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Jeremy Ekman, Christoph Berger, Fouad E. Kiamilev, X. Wang, Henk A. E. Spaanenburg, Philippe J. Marchand, Sadik C. Esener A Distributed Computing Demonstration System Using FSOI Inter-processor Communication. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Khoan Truong A Simple Built-In Self Test For Dual Ported SRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Luca Fanucci, Sergio Saponara, Andrea Cenciotti IP Reuse VLSI Architecture for Low Complexity Fast Motion Estimation in Multimedia Applications. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Carlo Guardiani, Andrzej J. Strojwas Design-Manufacturing Interface in the Deep Submicron: Is Technology Independent Design Dead? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Bernard Girau Building a 2D-Compatible Multilayer Neural Network. Search on Bibsonomy IJCNN (2) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Vivek Chickermane, Scott Richter, Carl Barnhart Integrating Logic BIST in VLSI Designs with Embedded Memories. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Dennis Abts, Mike Roberts, David J. Lilja A Balanced Approach to High-Level Verification: Performance Trade-Offs in Verifying Large-Scale Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Thierry J.-F. Omnés, Thierry Franzetti, Francky Catthoor Interactive co-design of high throughput embedded multimedia. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Stephan Ohr, Rob A. Rutenbar, Henry Chang, Georges G. E. Gielen, Rudolf Koch, Roy McGuffin, K. C. Murphy Survival strategies for mixed-signal systems-on-chip (panel session). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Marc van Heijningen, Mustafa Badaroglu, Stéphane Donnay, Marc Engels, Ivo Bolsens High-level simulation of substrate noise generation including power supply noise coupling. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
10Krzysztof Kuchcinski Integrated Resource Assignment and Scheduling of Task Graphs Using Finite Domain Constraints. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Kiyoshi Nikawa, Shoji Inoue, Kazuyuki Morimoto, Shinya Sone Failure Analysis Case Studies Using the IR-OBIRCH (Infrared Optical Beam Induced Resistance CHange) Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Nikil D. Dutt, Brian Kelley On the rapid prototyping and design of a wireless communication system on a chip (abstract). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Alexander Schwarz, Bärbel Mertsching, M. Brucke, Wolfgang Nebel, Jürgen Tchorz, Birger Kollmeier Implementing a Quantitative Model for the "Effective" Signal Processing in the Auditory System on a Dedicated Digital VLSI Hardware. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Krzysztof Kuchcinski Synthesis of Distributed Embedded Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Per Lindgren, Rolf Drechsler, Bernd Becker 0001 Synthesis of Pseudo Kronecker Lattice Diagrams. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Martin Engels, Bernhard Hoppe, Hermann Meuth, Reiner Peters A single chip 200 MHz digital correlation system for laser spectroscopy with 512 correlation channels. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Antonio García 0001, Uwe Meyer-Bäse, Antonio Lloris-Ruíz, Fred J. Taylor RNS implementation of FIR filters based on distributed arithmetic using field-programmable logic. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Kanad Chakraborty, Natesan Venkateswaran Congestion Mitigation During Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Robert C. Aitken Extending the Pseudo-Stuck-At Fault Model to Provide Complete IDDQ Coverage. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Fabien Clermidy, Thierry Collette, Michael Nicolaidis A New Placement Algorithm Dedicated to Parallel Computers: Bases and Application. Search on Bibsonomy PRDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10S. Ramanathan, V. Visvanathan, S. K. Nandy 0001 Synthesis of Configurable Architectures for DSP Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10D. Craig Wilcox, Lyndon G. Pierson, Perry J. Robertson, Edward L. Witzke, Karl Gass A DES ASIC Suitable for Network Encryption at 10 Gbps and Beyond. Search on Bibsonomy CHES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Srihari Varada, Vitalice K. Oduol, A. Shelat Data Flow and Buffer Management in Multi-Channel Data Link Controller. Search on Bibsonomy LCN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF TDM networks, Data flow management, ASIC, Buffer management
10Dave Johnson 0003, Venkatesh Akella, Bret Stott Micropipelined asynchronous discrete cosine transform (DCT/IDCT) processor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Ti-Yen Yen, Wayne H. Wolf Performance Estimation for Real-Time Distributed Embedded Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF distributed systems, real-time systems, Embedded systems, performance analysis, periodic tasks
10John C. Lach, William H. Mangione-Smith, Miodrag Potkonjak Efficiently Supporting Fault-Tolerance in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fault-tolerance, FPGA
10Petru Eles, Krzysztof Kuchcinski, Zebo Peng, Alexa Doboli, Paul Pop Scheduling of Conditional Process Graphs for the Synthesis of Embedded Systems. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Real time systems, Embedded systems, System design, Design automation, Performance estimation, Hardware/Software co-design, Process scheduling, System-level synthesis
10M. Svajda, B. Straka, Hans A. R. Manhaeve IOCIMU - An Integrated Off-Chip IDDQ Measurement Unit. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Abdellah Touhafi, Wouter Brissinck, Erik F. Dirkx Simulation of ATM Switches Using Dynamically Reconfigurable FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Michael Eisenring, Jürgen Teich Interfacing Hardware and Software. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF automatic interface synthesis, low power design, rapid prototyping, hardware/software codesign
10Tien-Toan Do, Holger Kropp, Carsten Reuter, Peter Pirsch A Flexible Implementation of High-Performance FIR Filters on Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Azra Rashid, Jason Leonard, William H. Mangione-Smith Dynamic Circuit Generation for Solving Specific Problem Instances of Boolean Satisfiability. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Petru Eles, Krzysztof Kuchcinski, Zebo Peng, Alexa Doboli, Paul Pop Process Scheduling for Performance Estimation and Synthesis of Hardware/Software Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Alex Orailoglu Graceful Degradation in Synthesis of VLSI ICs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF permanent fault resilience, high level synthesis of fault-tolerant ICs, Safety Critical Systems, graceful degradation, Fault-tolerant microarchitectures
10Raanan Grinwald, Eran Harel, Michael Orgad, Shmuel Ur, Avi Ziv User Defined Coverage - A Tool Supported Methodology for Design Verification. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
10Laurent Freund, Michel Israël, Frédéric Rousseau 0001, J. M. Bergé, Michel Auguin, Cécile Belleudy, Guy Gogniat A codesign experiment in acoustic echo cancellation GMDF. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF communications, codesign, HW/SW partitioning
10Jie Gong, Daniel Gajski, Smita Bakshi Model refinement for hardware-software codesign. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sofware-hardware codesign, functional model, implementation model, model refinement
10Allan H. Anderson, Gary A. Shaw Executable Requirements and Specifications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Mohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar A scheme for multiple on-chip signature checking for embedded SRAMs. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Viera Stopjaková, Hans A. R. Manhaeve CCII+ current conveyor based BIC monitor for IDDQ testing of complex CMOS circuits. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Stefan Sjöholm, Lennart Lindh The need for Co-simulation in ASIC-verification. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Timo Koskinen, Peter Y. K. Cheung Hierarchical tolerance analysis using statistical behavioral models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Sandi Habinc, Peter Sinander Using VHDL for Board Level Simulation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Mick Tegethoff, Tom Chen 0001 Sensitivity Analysis of Critical Parameters in Board Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Luiz C. V. dos Santos, Marc J. M. Heijligers, C. A. J. van Eijk, Jos T. J. van Eijndhoven, Jochen A. G. Jess A Constructive Method for Exploiting Code Motion. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF conditional resource sharing, scheduling, high-level synthesis, code generation, conditionals, Code motion, search space pruning
10Mark J. Bentum, Martin M. Samsom, Cornelis H. Slump A multi-ASIC real-time implementation of the two dimensional affine transform with a bilinear interpolation scheme. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Daniel D. Gajski, Frank Vahid Specification and Design of Embedded Hardware-Software Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Jean Paul Calvez, Olivier Pasquier Performance assessment of embedded Hw/Sw systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF embedded Hw/Sw systems, VLSI components, heterogeneous multiprocessor architectures, complex real-time applications, performance indexes, real-time event occurrences, software tasks, hardware functions, real-time performance analyzer, MCSE methodology, performance evaluation, real-time systems, VLSI, systems analysis, application specific integrated circuits, ASIC, performance assessment, event trace
10Pierpaolo Baglietto, Massimo Maresca, A. Migliaro, Mauro Migliardi Parallel Implementation of the Full Search Block Matching Algorithm for Motion Estimation. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI, Motion Estimation, Video Coding, Processor Array
10Valek Szwarc, Luc Desormeaux, Wilson Wong, Clifford P. S. Yeung, Chong H. Chan, Tad A. Kwasniewski A chip set for pipeline and parallel pipeline FFT architectures. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Anthony M. Hill, Sung-Mo Kang Genetic Algorithm Based Design Optimization Of CMOS VLSI Circuits. Search on Bibsonomy PPSN The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10David Karchmer, Jonathan Rose Definition and solution of the memory packing problem for field-programmable systems. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10I. D. Dear, Chryssa Dislis, Anthony P. Ambler, J. H. Dick Economic Effects in Design and Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
10David A. Fechser A Methodology for Debugging ASIC Prototypes in the Field. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
10Giovanni De Micheli, David C. Ku, Frédéric Mailhot 0001, Thomas K. Truong The Olympus Synthesis System. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Tuomo Tikkanen, Timo Lappänen, Jorma Kivelä Structured analysis and VHDL in embedded ASIC design and verification. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Kin-Hong Lee, Kwong-Sak Leung, Sin Man Cheang The Implementation of A PC-Based List Processor for Symbolic Computation. Search on Bibsonomy DISCO The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Wayne H. Wolf The FSM Network Model for Behavioral Synthesis of Control-Dominated Machines. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Michael A. B. Jackson, Arvind Srinivasan 0004, Ernest S. Kuh Clock Routing for High-Performance ICs. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Mitsuru Igusa, Mark Beardslee, Alberto L. Sangiovanni-Vincentelli ORCA a Sea-of-Gates Place and Route System. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10Brian Apgar, Bret Bersack, Abraham Mammen A display system for the Stellar graphics supercomputer model GS1000. Search on Bibsonomy SIGGRAPH The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
10E. Berkcan, Manuel A. d'Abreu, W. Laughton Analog Compilation Based on Successive Decompositions. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
Displaying result #601 - #665 of 665 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license