The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Kiran Puttaswamy, Gabriel H. Loh 3D-Integrated SRAM Components for High-Performance Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Kaushik Datta, Shoaib Kamil 0001, Samuel Williams 0001, Leonid Oliker, John Shalf, Katherine A. Yelick Optimization and Performance Modeling of Stencil Computations on Modern Microprocessors. Search on Bibsonomy SIAM Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Yusuf Osmanlioglu, Y. Sinan Hanay, Oguz Ergin Modifying the Data-Holding Components of the Microprocessors for Energy Efficiency. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer Power-delay optimization in VLSI microprocessors by wire spacing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Wire spacing, power optimization, interconnect optimization, delay-optimization
14Padmaraj Singh, David L. Landis, Vijaykrishnan Narayanan Test Generation for Precise Interrupts on Out-of-Order Microprocessors. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Mark D. Hill Opportunities beyond single-core microprocessors. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Sunil R. Shenoy Leadership Microprocessors: Validation, debug and test. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Kürsat Zühtüogullari, Ismail Saritas, Novruz Allahverdi The application of fuzzy expert cooling system for multi core microprocessors and mainboards. Search on Bibsonomy CompSysTech The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Jim D. Garside, Stephen B. Furber, Steve Temple, Viv Woods The Amulet chips: Architectural development for asynchronous microprocessors. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Soumyaroop Roy, Nagarajan Ranganathan, Srinivas Katkoori Compiler-directed leakage reduction in embedded microprocessors. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Naghmeh Karimi, Michail Maniatakos, Chandra Tirumurti, Abhijit Jas, Yiorgos Makris Impact analysis of performance faults in modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Paolo Rech, Simone Gerardin, Alessandro Paccagnella, Paolo Bernardi, Michelangelo Grosso, Matteo Sonza Reorda, Davide Appello Evaluating Alpha-induced soft errors in embedded microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Franco Leite, Tiago R. Balen, Marcos Hervé, Marcelo Lubaszewski, Gilson I. Wirth Using Bulk Built-In Current Sensors and recomputing techniques to mitigate transient faults in microprocessors. Search on Bibsonomy LATW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Yiorgos Makris Workload-Cognizant Impact Analysis and its Applications in Error Detection and Tolerance in Modern Microprocessors. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Uwe Brinkschulte, Mathias Pacher A Theoretical Examination of a Self-Adaptation Approach to Improve the Real-Time Capabilities in Multi-Threaded Microprocessors. Search on Bibsonomy SASO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Yuan He 0002, Morteza Biglari-Abhari, Zoran A. Salcic Rapid Energy Estimation for Embedded Soft-core Microprocessors. Search on Bibsonomy ESA The full citation details ... 2009 DBLP  BibTeX  RDF
14Burcin Aktan, Garrison W. Greenwood Evolutionary Computation in pre-silicon verification of complex microprocessors. Search on Bibsonomy WEAH The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Mark D. Hill Opportunities beyond single-core microprocessors. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Thomas Baumann, Doris Schmitt-Landsiedel, Christian Pacha Architectural assessment of design techniques to improve speed and robustness in embedded microprocessors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF variability-aware design, robustness, micro-architecture
14Andrew J. Robinson 0002 Improving instruction encoding efficiency in low power microprocessors. Search on Bibsonomy 2009   RDF
14Anderson Kuei-An Ku Novel gather and scatter hardware support for microprocessors. Search on Bibsonomy 2009   RDF
14Jonathan R. Haigh, Lawrence T. Clark High performance set associative translation lookaside buffers for low power microprocessors. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Shingo Watanabe, Akihiro Chiyonobu, Toshinori Sato A Low-Power Instruction Issue Queue for Microprocessors. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha System-Level Dynamic Thermal Management for High-Performance Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Seda Ogrenci Memik, Rajarshi Mukherjee, Min Ni, Jieyi Long Optimizing Thermal Sensor Allocation for Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Hans-Jürgen Brand Optimization of Design Flows for Multi-Core x86 Microprocessors in 45 and 32nm Technologies under Productivity Considerations. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Duo Li, Sheldon X.-D. Tan, Murli Tirumala Architecture-level thermal behavioral characterization for multi-core microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Inhwa Jung, Moo-young Kim, Chulwoo Kim A 1.2GHz delayed clock generator for high-speed microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Stefano Di Carlo, Giorgio Di Natale, Riccardo Mariani On-Line Instruction-Checking in Pipelined Microprocessors. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Yung-Yuan Chen, Shu-Hao Hsu, Kuen-Long Leu An Estimation Model of Vulnerability for Embedded Microprocessors. Search on Bibsonomy SSIRI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Collin McCurdy, Alan L. Cox, Jeffrey S. Vetter Investigating the TLB Behavior of High-end Scientific Applications on Commodity Microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Swaroop Ghosh, Jung Hwan Choi, Patrick Ndai, Kaushik Roy 0001 O2C: occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Avadh Patel, Kanad Ghose Energy-efficient MESI cache coherence with pro-active snoop filtering for multicore microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Srikanth Balasubramanian Power delivery for high performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ilya Wagner, Valeria Bertacco Reversi: Post-silicon validation system for modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Nikolaos G. Bartzoudis, Vasileios Tantsios, Klaus D. McDonald-Maier Dynamic Scheduling of Test Routines for Efficient Online Self-Testing of Embedded Microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14T. M. Mak Jitters in high performance microprocessors. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Heiko Ahrens, Rolf Schlagenhaft, Helmut Lang, V. Srinivasan, Enrico Bruzzano DFT Architecture for Automotive Microprocessors using On-Chip Scan Compression supporting Dual Vendor ATPG. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Syed Zafar Shazli, Mohammed A. Abdul-Aziz, Mehdi Baradaran Tahoori, David R. Kaeli A Field Analysis of System-level Effects of Soft Errors Occurring in Microprocessors used in Information Systems. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Naghmeh Karimi, Michail Maniatakos, Abhijit Jas, Yiorgos Makris On the Correlation between Controller Faults and Instruction-Level Errors in Modern Microprocessors. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Robert L. Franch, Phillip J. Restle, James K. Norman, William V. Huott, Joshua Friedrich, R. Dixon, Steve Weitzel, K. van Goor, Gerard Salem On-chip Timing Uncertainty Measurements on IBM Microprocessors. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Anjali Vij, Richard Ratliff Implementation Update: Logic Mapping On SPARC- Microprocessors. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Xiaodong Li Soft Error Modeling and Analysis for Microprocessors Search on Bibsonomy 2008   RDF
14Ilya Wagner An Effective Verification Solution for Modern Microprocessors. Search on Bibsonomy 2008   RDF
14Jörg Platte A security architecture for microprocessors. (PDF / PS) Search on Bibsonomy 2008   RDF
14Hendrik F. Hamann, Alan J. Weger, James A. Lacey, Zhigang Hu, Pradip Bose, Erwin B. Cohen, Jamil A. Wakil Hotspot-Limited Microprocessors: Direct Temperature and Power Distribution Measurements. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Giorgos Dimitrakopoulos, Christos Mavrokefalidis, Costas Galanopoulos, Dimitris Nikolos Sorter Based Permutation Units for Media-Enhanced Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Ibrahim Hur, Calvin Lin Memory scheduling for modern microprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive history-based scheduling, memory scheduling, Memory system performance
14Pradeep S. Nair, Eugene B. John Analysing the performance of personal computers based on Intel microprocessors for sequence aligning bioinformatics applications. Search on Bibsonomy Int. J. Bioinform. Res. Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Pedro Trancoso Watt Matters Most? Design Space Exploration of High-Performance Microprocessors for Power-Performance Efficiency. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Fred A. Bower, Daniel J. Sorin, Sule Ozev Online diagnosis of hard faults in microprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hard fault tolerance, fine-grained diagnosis, processor microarchitecture
14Yasser Mohanna, Mostafa Hamad, Rabih A. Jabr, Ali Haidar Alaeddine, Oussama Bazzi Teaching microprocessors, microcontrollers, and digital signal processing courses using only one target processor: The newborn dsPIC30F™ from Microchip™. Search on Bibsonomy Comput. Appl. Eng. Educ. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Hiroaki Suzuki, Chris H. Kim, Kaushik Roy 0001 Fast Tag Comparator Using Diode Partitioned Domino for 64-bit Microprocessors. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Shekhar Borkar, Norman P. Jouppi, Per Stenström Microprocessors in the era of terascale integration. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Trevor Harmon, Raymond Klefstad Interactive Back-annotation of Worst-case Execution Time Analysis for Java Microprocessors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Andreas Kasper Efficient implementation of rainbow table based cryptanalysis tools on general purpose microprocessors. Search on Bibsonomy Informatiktage The full citation details ... 2007 DBLP  BibTeX  RDF
14Stefan Rusu, Jim Warnock Microprocessors. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kyunghwa Kim, Junho Shim, Ig-hoon Lee Cache Conscious Trees: How Do They Perform on Contemporary Commodity Microprocessors? Search on Bibsonomy ICCSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Andrew Robinson, Jim D. Garside Sensitive registers: a technique for reducing the fetch bandwidth in low-power microprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF processors, memory bandwidth, power efficiency, registers
14George Xenoulis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis On-Line Periodic Self-Testing of High-Speed Floating-Point Units in Microprocessors. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Miltiadis Hatzimihail, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis A methodology for detecting performance faults in microprocessors via performance monitoring hardware. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Cecilia Metra, Martin Omaña 0001, T. M. Mak, Simon Tam 0001 Novel compensation scheme for local clocks of high performance microprocessors. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Dean L. Lewis, Hsien-Hsin S. Lee A scanisland based design enabling prebond testability in die-stacked microprocessors. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Robert L. Franch, Phillip J. Restle, James K. Norman, William V. Huott, Joshua Friedrich, R. Dixon, Steve Weitzel, K. van Goor, Gerard Salem On-chip timing uncertainty measurements on IBM microprocessors. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Salah Merniz, Mohamed Benmohammed A Methodology for the Formal Verification of RISC Microprocessors A Functional Approach. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Soumyaroop Roy, Srinivas Katkoori, Nagarajan Ranganathan A Compiler Based Leakage Reduction Technique by Power-Gating Functional Units in Embedded Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kiran Puttaswamy, Gabriel H. Loh Scalability of 3D-Integrated Arithmetic Units in High-Performance Microprocessors. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Nathan Clark Customizing the Computation Capabilities of Microprocessors. Search on Bibsonomy 2007   RDF
14Nicholas J. Wang Cost Effective Soft Error Mitigation in Microprocessors Search on Bibsonomy 2007   RDF
14Michael Bedford Taylor Tiled microprocessors. Search on Bibsonomy 2007   RDF
14Georgios N. Dimitrakopoulos Data processing units for high performance microprocessors, Μονάδες επεξεργασίας δεδομένων για μικροεπεξεργαστές υψηλών αποδόσεων Search on Bibsonomy 2007   RDF
14Jacques Jean-Alain Michael Fournier Vector microprocessors for cryptography. Search on Bibsonomy 2007   RDF
14Joonhyuk Yoo Harnessing Checker Hierarchy for Reliable Microprocessors. Search on Bibsonomy 2007   RDF
14Alper Sen 0001 Error Diagnosis in Equivalence Checking of High Performance Microprocessors. Search on Bibsonomy V&D@FLoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Allen C. Cheng, Gary S. Tyson High-quality ISA synthesis for low-power cache designs in embedded microprocessors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yehuda Sadeh Weinraub, Shlomo Weiss Power-aware out-of-order issue logic in high-performance microprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Annie (Yujuan) Zeng, Kenneth Rose, Ronald J. Gutmann Memory performance prediction for high-performance microprocessors at deep submicrometer technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Richard McDougall, James Laudon Multi-Core Microprocessors Are Here. Search on Bibsonomy login Usenix Mag. The full citation details ... 2006 DBLP  BibTeX  RDF
14Janusz Sosnowski Software-based self-testing of microprocessors. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Kiran Puttaswamy, Gabriel H. Loh Implementing Register Files for High-Performance Microprocessors in a Die-Stacked (3D) Technology. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Vinod Viswanath, Jacob A. Abraham, Warren A. Hunt Jr. Automatic insertion of low power annotations in RTL for pipelined microprocessors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jörg Platte, Edwin Naroska, Kai Grundmann A Cache Design for a Security Architecture for Microprocessors (SAM). Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Shuliang Lei, Alan Palazzolo Real Time Digital Control of Magnetic Bearings with Microprocessors. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yuan-Long Jeang, Ching-Ta Chen, Chih-Chung Tai A New and Efficient Real-Time Address Tracer for Embedded Microprocessors. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Koji Inoue Supporting A Dynamic Program Signature: An Intrusion Detection Framework for Microprocessors. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yuan-Long Jeang, Tzuu-Shaang Wey, Hung-Yu Wang, Ching-Ta Chen A Pre-processing Based Real-Time Address Tracer for Embedded Microprocessors. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yung-Yuan Chen, Kuen-Long Leu, Li-Wen Lin Hybrid Error-Detection Approach with No Detection Latency for High-Performance Microprocessors. Search on Bibsonomy CDES The full citation details ... 2006 DBLP  BibTeX  RDF
14Chengyi Zhang, Hongwei Zhou, Minxuan Zhang, Zuocheng Xing An Architectural Leakage Power Reduction Method for Instruction Cache in Ultra Deep Submicron Microprocessors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Periodically Drowsy Speculative Recover, Adaptive, Leakage Power, Drowsy cache
14Alok Garg, Fernando Castro, Michael C. Huang 0001, Daniel Chaver, Luis Piñuel, Manuel Prieto 0001 Substituting associative load queue with simple hash tables in out-of-order microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF LSQ, scalability, hash table, memory disambiguation
14Marta Portela-García, Luca Sterpone, Celia López-Ongil, Matteo Sonza Reorda, Massimo Violante A Fault Injection Environment for SoPC's Embedded Microprocessors. Search on Bibsonomy LATW The full citation details ... 2006 DBLP  BibTeX  RDF
14Wei-Lun Hung, Greg M. Link, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Interconnect and Thermal-aware Floorplanning for 3D Microprocessors. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14B. M. Malashevich The Microprocessors, Mini- and Micro-computers with Architecture "Electronics NC" in Zelenograd. Search on Bibsonomy SoRuCom The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yuan-Long Jeang, Tzuu-Shaang Wey, Hung-Yu Wang, Chih-Chung Tai A Single-Stream Pipelined Instruction Decompression System for Embedded Microprocessors. Search on Bibsonomy IIH-MSP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yale N. Patt Computer Architecture Research and Future Microprocessors: Where Do We Go from Here? Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jörg Platte, Raúl Durán Díaz, Edwin Naroska An Operating System Design for the Security Architecture for Microprocessors. Search on Bibsonomy ICICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Encrypted Programs, Secure Processors, Secure Operating Systems, Certified Execution
14Rajarshi Mukherjee, Seda Ogrenci Memik Systematic temperature sensor allocation and placement for microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor, placement, allocation, temperature
14Giuseppe Desoli, Thierry Strudel, Jean-Philippe Cousin, Kaushik Saha Current and future trends in embedded VLIW microprocessors applied to multimedia and signal processing. Search on Bibsonomy EUSIPCO The full citation details ... 2006 DBLP  BibTeX  RDF
14Jayanth Srinivasan Lifetime Reliability Aware Microprocessors Search on Bibsonomy 2006   RDF
14Jessica H. Tseng Banked microarchitectures for complexity-effective superscalar microprocessors. Search on Bibsonomy 2006   RDF
14Pradip Bose Designing microprocessors with robust functionality and performance. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Reliability-aware microarchitecture, power-efficient design, microprocessor design
Displaying result #601 - #700 of 2330 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license