The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adders with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1974 (15) 1975-1980 (15) 1982-1987 (18) 1988-1990 (21) 1991-1992 (25) 1993 (20) 1994 (19) 1995 (26) 1996 (17) 1997 (20) 1998 (25) 1999 (32) 2000 (33) 2001 (48) 2002 (34) 2003 (55) 2004 (43) 2005 (62) 2006 (65) 2007 (69) 2008 (68) 2009 (32) 2010 (32) 2011 (27) 2012 (24) 2013 (24) 2014 (18) 2015 (18) 2016 (26) 2017 (31) 2018 (39) 2019 (31) 2020 (38) 2021 (42) 2022 (29) 2023 (43) 2024 (7)
Publication types (Num. hits)
article(465) incollection(4) inproceedings(717) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 823 occurrences of 430 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16YongHwan Kim, Sanghoon Kwak, Taewhan Kim Synthesis of Adaptable Hybrid Adders for Area Optimization under Timing Constraint. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mostafa Rahimi Azghadi, Omid Kavehei, Keivan Navi A Novel Design for Quantum-dot Cellular Automata Cells and Full Adders Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
16 A Novel Design for Quantum-dot Cellular Automata Cells and Full Adders Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
16Rajkumar Sarma, Veerati Raju Design and Performance Analysis of hybrid adders for high speed arithmetic circuit Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
16Pradeep S. Nair, Savithra Eratne, Eugene B. John Probability-Based Optimal Sizing of Power-Gating Transistors in Full Adders for Reduced Leakage and High Performance. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Taskin Koçak, Preeti Patil Design and implementation of high-performance high-valency ling adders. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Chetan Vudadha, P. Sai Phaneendra, Syed Ershad Ahmed, Sreehari Veeramachaneni, N. Moorthy Muthukrishnan, Mandalika B. Srinivas Design and Analysis of Reversible Ripple, Prefix and Prefix-Ripple Hybrid Adders. Search on Bibsonomy ISVLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Shashikant Sharma, Manisha Pattanaik, Balwinder Raj Signal Stepping Based Multimode Multi-threshold CMOS Technique for Ground Bounce Noise Reduction in Static CMOS Adders. Search on Bibsonomy ISED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16R. Uma, Jebashini Ponnian Systolic FIR Filter Design with Various Parallel Prefix Adders in FPGA: Performance Analysis. Search on Bibsonomy ISED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Fateme Naderpour, Seok-Bum Ko Improved Design of High-Radix Signed-Digit Adders. Search on Bibsonomy ISED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Kiyoung Kim, Taewhan Kim Algorithm for synthesizing design context-aware fast carry-skip adders. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Carl Ingemarsson, Petter Kallstrom, Oscar Gustafsson Using DSP block pre-adders in pipeline SDF FFT implementations in contemporary FPGAs. Search on Bibsonomy FPL The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Weiqiang Liu 0001, Máire O'Neill, Earl E. Swartzlander Jr. A review of QCA adders and metrics. Search on Bibsonomy ACSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Jin Miao, Ku He, Andreas Gerstlauer, Michael Orshansky Modeling and synthesis of quality-energy optimal approximate adders. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Babak Zamanlooy, Ashley Novak, Mitra Mirhassani Complexity Study of the Continuous Valued Number System Adders. Search on Bibsonomy ISMVL The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Stevo D. Bailey, Mircea R. Stan A new taxonomy for reconfigurable prefix adders. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Michael B. Sullivan 0001, Earl E. Swartzlander Jr. Long Residue Checking for Adders. Search on Bibsonomy ASAP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Tso-Bing Juang, Hsin-Hao Peng, Han-Lung Kuo Parallel and digit-serial implementations of area-efficient 3-Operand Decimal Adders. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Hiromine Yoshihara, Masao Yanagisawa, Nozomu Togawa Weighted adders with selector logics for super-resolution and its FPGA-based evaluation. Search on Bibsonomy APCCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Massimo Alioto, Gaetano Palumbo, Massimo Poli Optimized design of parallel carry-select adders. Search on Bibsonomy Integr. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Mariano Aguirre-Hernandez, Mónico Linares Aranda CMOS Full-Adders for Energy-Efficient Arithmetic Applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Swaroop Ghosh, Kaushik Roy 0001 Novel Low Overhead Post-Silicon Self-Correction Technique for Parallel Prefix Adders Using Selective Redundancy and Adaptive Clocking. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Evangelos Vassalos, Dimitris Bakalis, Haridimos T. Vergos On the Design of Modulo 2n±1 Subtractors and Adders/Subtractors. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Mónico Linares Aranda, Mariano Aguirre-Hernandez New High-Performance Full Adders Using an Alternative Logic Structure. Search on Bibsonomy Computación y Sistemas The full citation details ... 2011 DBLP  BibTeX  RDF
16Keivan Navi, Akbar Doostaregan, Mohammad Hossein Moaiyeri, Omid Hashemipour A hardware-friendly arithmetic method and efficient implementations for designing digital fuzzy adders. Search on Bibsonomy Fuzzy Sets Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Dong Shi, Ya Jun Yu Design of Linear Phase FIR Filters With High Probability of Achieving Minimum Number of Adders. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Alexey Gutin, Philip Jacob 0001, Michael Chu, Paul M. Belemjian, Mitchell R. LeRoy, Russell P. Kraft, John F. McDonald 0001 Carry Chains for Ultra High-Speed SiGe HBT Adders. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Jeff Rebacz, Erdal Oruklu, Jafar Saniie Fast Signed-Digit Multi-operand Decimal Adders. Search on Bibsonomy Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Tso-Bing Juang, Hsin-Hao Peng, Chao-Tsung Kuo Area-efficient 3-input decimal adders using simplified carry and sum vectors. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Hong Diep Nguyen, Bogdan Pasca 0001, Thomas B. Preußer FPGA-Specific Arithmetic Optimizations of Short-Latency Adders. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF carry-chain, carry-select, carry-increment, FPGA, addition
16Saeid Gorgin 0001, Ghassem Jaberipur A Family of High Radix Signed Digit Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Neil Burgess Fast Ripple-Carry Adders in Standard-Cell CMOS VLSI. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Mathias Faust, Chip-Hong Chang Low error bit width reduction for structural adders of FIR filters. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Ahmed Fawaz, Ameen Jaber, Ali Kassem 0003, Ali Chehab, Ayman I. Kayssi Assessing testing techniques for resistive-open defects in nanometer CMOS adders. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Matthew Keeter, David Money Harris, Andrew Macrae, Rebecca Glick, Madeleine Ong, Justin Schauer Implementation of 32-bit Ling and Jackson adders. Search on Bibsonomy ACSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Ghassem Jaberipur, Behrooz Parhami, Saeed Nejati On building general modular adders from standard binary arithmetic components. Search on Bibsonomy ACSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16K. S. Vasundara Patel, K. S. Gurumurthy Design of High Performance Quaternary Adders. Search on Bibsonomy ISMVL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Akansha Baliga, Deepa Yagain Design of High Speed Adders Using CMOS and Transmission Gates in Submicron Technology: A Comparative Study. Search on Bibsonomy ICETET The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Zvi M. Kedem, Vincent John Mooney, Kirthi Krishna Muntimadugu, Krishna V. Palem An approach to energy-error tradeoffs in approximate ripple carry adders. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
16Taeko Matsunaga, Shinji Kimura, Yusuke Matsunaga Power and delay aware synthesis of multi-operand adders targeting LUT-based FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
16Vaibhav Gupta, Debabrata Mohapatra, Sang Phill Park, Anand Raghunathan, Kaushik Roy 0001 IMPACT: imprecise adders for low-power approximate computing. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
16Kai Du, Peter J. Varman, Kartik Mohanram Static window addition: A new paradigm for the design of variable latency adders. Search on Bibsonomy ICCD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Carlos Diego Moreno-Moreno, Pilar Martínez, Francisco Bellido 0001, Javier Hormigo, Manuel Ortiz, Francisco J. Quiles 0002 Convolution Computation in FPGA Based on Carry-Save Adders and Circular Buffers. Search on Bibsonomy IT Revolutions The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Jinghang Liang, Jie Han 0001, Fabrizio Lombardi On the Reliable Performance of Sequential Adders for Soft Computing. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16H. Boddapati, A. Naregalkar, B. L. Raju Novel asynchronous adders. Search on Bibsonomy ICWET The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Manoj Kumar 0005, Sujata Pandey, Sandeep Kumar Arya Design of CMOS Energy Efficient Single Bit Full Adders. Search on Bibsonomy HPAGC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Bart R. Zeydel, Dursun Baran, Vojin G. Oklobdzija Energy-Efficient Design Methodologies: High-Performance VLSI Adders. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16K. Scott Hemmert, Keith D. Underwood Fast, Efficient Floating-Point Adders and Multipliers for FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Haridimos T. Vergos, Dimitris Bakalis, Costas Efstathiou Fast modulo 2n+1 multi-operand adders and residue generators. Search on Bibsonomy Integr. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Gery Bioul, Martín Vázquez 0001, Jean-Pierre Deschamps, Gustavo Sutter High-Speed FPGA 10's Complement Adders-Subtractors. Search on Bibsonomy Int. J. Reconfigurable Comput. The full citation details ... 2010 DBLP  BibTeX  RDF
16Jeff Jones, Andrew Adamatzky Towards Physarum binary adders. Search on Bibsonomy Biosyst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Naofumi Takagi, Masamitsu Tanaka Comparisons of Synchronous-Clocking SFQ Adders. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16V. P. Suprun, D. A. Gorodetskii Synthesis of n-operand modulo-three adders. Search on Bibsonomy Autom. Control. Comput. Sci. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Md. Saiful Islam 0003, Muhammad Mahbubur Rahman, Zerina Begum, Mohd. Zulfiquar Hafiz Efficient Approaches for Designing Fault Tolerant Reversible Carry Look-Ahead and Carry-Skip Adders Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
16Anindya Das, Ifat Jahangir, Masud Hasan On the Design and Analysis of Quaternary Serial and Parallel Adders Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
16Md. Saiful Islam 0003, Muhammad Mahbubur Rahman, Zerina Begum, Mohd. Zulfiquar Hafiz Fault tolerant reversible logic synthesis: Carry look-ahead and carry-skip adders Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
16Jeff Jones, Andrew Adamatzky Towards Physarum Binary Adders Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
16Janusz Biernat Fast fault-tolerant adders. Search on Bibsonomy Int. J. Crit. Comput. Based Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Ismo Hänninen, Jarmo Takala Binary Adders on Quantum-Dot Cellular Automata. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Feng Liu 0029, Qingping Tan, Gang Chen 0004 Formal proof of prefix adders. Search on Bibsonomy Math. Comput. Model. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Dietmar Fey, Steffen Limmer Unconventional Computing - Reversible Signed Digit Adders for Future Nanocomputing Devices (Unkonventionelles Rechnen - reversible Addierer für künftiges Nanocomputing). Search on Bibsonomy it Inf. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Abdoul Rjoub, Al-Mamoon Al-Othman The Influence of the Nanometer Technology on Performance of CPL Full Adders. Search on Bibsonomy J. Comput. The full citation details ... 2010 DBLP  BibTeX  RDF
16Haridimos T. Vergos A Family of Area-Time Efficient Modulo 2n+1 Adders. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Mónico Linares Aranda, Ramón Báez, Oscar González-Díaz Hybrid adders for high-speed arithmetic circuits: A comparison. Search on Bibsonomy CCE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Mark S. K. Lau, Keck Voon Ling, Yun-Chung Chu, Arun Bhanu A general mathematical model of probabilistic ripple-carry adders. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Florent de Dinechin, Hong Diep Nguyen, Bogdan Pasca 0001 Pipelined FPGA Adders. Search on Bibsonomy FPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Savithra Eratne, Claudia Romo, Eugene John Leakage Power Analysis of Multi-bit Adders Using Transistor Gate Length Increase. Search on Bibsonomy CDES The full citation details ... 2010 DBLP  BibTeX  RDF
16Zvi M. Kedem, Vincent John Mooney, Kirthi Krishna Muntimadugu, Krishna V. Palem, Avani Devarasetty, Phani Deepak Parasuramuni Optimizing energy to minimize errors in dataflow graphs using approximate adders. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Davide Sacchetto, M. Haykel Ben Jamaa, Giovanni De Micheli, Yusuf Leblebici Design aspects of carry lookahead adders with vertically-stacked nanowire transistors. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Feng Liu 0029, QingPing Tan, Xiaoyu Song, Gang Chen 0004 Formal Proof for a General Architecture of Hybrid Prefix/Carry-Select Adders. Search on Bibsonomy ICA3PP (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Santosh Ghosh, Debdeep Mukhopadhyay, Dipanwita Roy Chowdhury High speed Fp multipliers and adders on FPGA platform. Search on Bibsonomy DASIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Yongpan Liu, Yinan Sun, Yihao Zhu, Huazhong Yang Design methodology of variable latency adders with multistage function speculation. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Mark S. K. Lau, Keck Voon Ling, Yun-Chung Chu, Arun Bhanu Modeling of Probabilistic Ripple-Carry Adders. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ripple-carry adder, noies modeling, error propagation, Probabilistic computation
16Tso-Bing Juang, Pramod Kumar Meher, Chung-Chun Kuan Area-efficient parallel-prefix Ling adders. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Padmanabhan Balasubramanian Self-Timed Logic and the Design of Self-Timed Adders. Search on Bibsonomy 2010   RDF
16Snorre Aunet, Hans Kristian Otnes Berge Statistical Simulations on Perceptron-Based Adders. Search on Bibsonomy Encyclopedia of Artificial Intelligence The full citation details ... 2009 DBLP  BibTeX  RDF
16Radu Zlatanovici, Sean Kao, Borivoje Nikolic Energy-Delay Optimization of 64-Bit Carry-Lookahead Adders With a 240 ps 90 nm CMOS Design Example. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Michael Kirkedal Thomsen, Holger Bock Axelsen Parallelization of Reversible Ripple-Carry Adders. Search on Bibsonomy Parallel Process. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Kyo Takahashi, Shingo Sato, Tadamichi Kudo, Yoshitaka Tsunekawa High-Performance VLSI Architecture of the LMS Adaptive Filter Using 4-2 Adders. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Sanghoon Kwak, Jeong-Gun Lee, Eun-Gu Jung, Dongsoo Har, Milos D. Ercegovac, Jeong-A Lee Exploration of Power-Delay Trade-Offs with Heterogeneous Adders by Integer Linear Programming. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Mary D. Pulukuri, Charles E. Stroud On Built-In Self-Test for Adders. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Keivan Navi, Mohammad Hossein Moaiyeri, Reza Faghih Mirzaee, Omid Hashemipour, Babak Mazloom Nezhad Two new low-power Full Adders based on majority-not gates. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Wancheng Zhang, Nan-Jian Wu Compact non-binary fast adders using single-electron devices. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Fabio Frustaci, Marco Lanuzza, Paolo Zicari, Stefania Perri, Pasquale Corsonello Designing High-Speed Adders in Power-Constrained Environments. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Mohammad Hossein Moaiyeri, Reza Faghih Mirzaee, Keivan Navi Two New Low-Power and High-Performance Full Adders. Search on Bibsonomy J. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Heejoung Park, Yuki Yamanashi, Nobuyuki Yoshikawa, Masamitsu Tanaka, Akira Fujimaki Design of fast digit-serial adders using SFQ logic circuits. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Feng Liu 0029, Fariborz Fereydouni-Forouzandeh, Otmane Aït Mohamed, Gang Chen 0004, Xiaoyu Song, QingPing Tan A Comparative Study of Parallel Prefix Adders in FPGA Implementation of EAC. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Martín Vázquez 0001, Gustavo Sutter, Gery Bioul, Jean-Pierre Deschamps Decimal Adders/Subtractors in FPGA: Efficient 6-input LUT Implementations. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF BCD, add/subtract, addtion, FPGA, subtraction, decimal arithmetic
16Ghassem Jaberipur, Behrooz Parhami Unified Approach to the Design of Modulo-(2n +/- 1) Adders Based on Signed-LSB Representation of Residues. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Chung-Kuan Cheng Design Space Exploration for Power-Efficient Mixed-Radix Ling Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16R. P. P. Singh, Parveen Kumar, Balwinder Singh Performance Analysis of Fast Adders Using VHDL. Search on Bibsonomy ARTCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Ching Zhou, Bruce M. Fleischer, Michael Gschwind, Ruchir Puri 64-bit prefix adders: Power-efficient topologies and design solutions. Search on Bibsonomy CICC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Masaki Murozuka, Kazumasa Ikeura, Fumiyuki Adachi, Kazuya Machida, Takao Waho Time-Interleaved Polyphase Decimation Filter Using Signed-Digit Adders. Search on Bibsonomy ISMVL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Mathias Faust, Chip-Hong Chang Optimization of Structural Adders in Fixed Coefficient Transposed Direct Form FIR Filters. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Ashis Kumer Biswas, Md. Mahmudul Hasan, Ahsan Raja Chowdhury, Hafiz Md. Hasan Babu Efficient approaches for designing reversible Binary Coded Decimal adders. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Zine Abid, Hayssam El-Razouk, Dalia A. El-Dib Low power multipliers based on new hybrid full adders. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Keivan Navi, Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Babak Mazloom Nezhad, Omid Hashemipour, K. Shams Ultra high speed Full Adders. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Michael Kirkedal Thomsen, Robert Glück Optimized reversible binary-coded decimal adders. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Nobutaka Kito, Naofumi Takagi Level-Testability of Multi-operand Adders. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Haridimos T. Vergos, Dimitris Bakalis, Costas Efstathiou Efficient modulo 2n + 1 multi-operand adders. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license