The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for datapath with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (20) 1991-1993 (19) 1994-1995 (35) 1996 (22) 1997 (24) 1998 (29) 1999 (34) 2000 (59) 2001 (31) 2002 (51) 2003 (76) 2004 (65) 2005 (65) 2006 (68) 2007 (72) 2008 (69) 2009 (39) 2010 (22) 2011 (15) 2012 (15) 2013 (17) 2014 (23) 2015 (21) 2016 (21) 2017 (15) 2018-2019 (27) 2020-2021 (18) 2022-2023 (31) 2024 (5)
Publication types (Num. hits)
article(262) book(1) inproceedings(738) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 689 occurrences of 454 keywords

Results
Found 1008 publication records. Showing 1008 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Victor J. Lam, Kunle Olukotun DCP: an algorithm for datapath/control partitioning of synthesizable RTL models. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Allen C.-H. Wu Datapath Optimization Using Layout Information: An Empirical Study. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Wen-Jong Fang, Allen C.-H. Wu, Ti-Yen Yen, Tsair-Chin Lin DP-Gen: a datapath generator for multiple-FPGA applications. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Masayuki Yamaguchi, Akihisa Yamada 0001, Toshihiro Nakaoka, Takashi Kambe Architecture evaluation based on the datapath structure and parallel constraint. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Wolfram Drescher, Kay Bachmann, Gerhard P. Fettweis VLSI architecture for datapath integration of arithmetic over GF(2 m) on digital signal processors. Search on Bibsonomy ICASSP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17I. Chakrabarti, Dipankar Sarkar 0001, Arun K. Majumdar Inductive Verification of Sequential Circuits with a Datapath. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Young-No Kim, Hae-Dong Lee, Sun-Young Hwang An interconnect Allocation Algorithm for Performance-Driven Datapath Synthesis. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Carl Ebeling, Darren C. Cronquist, Paul Franklin RaPiD - Reconfigurable Pipelined Datapath. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Christos A. Papachristou, Mehrdad Nourani False path exclusion in delay analysis of RTL-based datapath-controller designs. Search on Bibsonomy EURO-DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Thomas Charles Wilson, Nilanjan Mukherjee, Manoj K. Garg, Dilip K. Banerji An ILP Solution for Optimum Scheduling, Module and Register Allocation, and Operation Binding in Datapath Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Ramin Hojati, Robert K. Brayton Automatic Datapath Abstraction In Hardware Systems. Search on Bibsonomy CAV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Tsuyoshi Isshiki, Wayne Wei-Ming Dai High-Level Bit-Serial Datapath Synthesis for Multi-FPGA Systems. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Hae-Dong Lee, Sun-Young Hwang A scheduling algorithm for multiport memory minimization in datapath synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Andrew A. Duncan, David C. Hendry Area efficient DSP datapath synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Abdelhakim Safir, Baher Haroun, Krishnaiyan Thulasiraman Floorplanning with Datapath Optimization. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Kyumyung Choi, Steven P. Levitan Exploration of Area and Performance Optimized Datapath Design Using Realistic Cost Metrics. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Norman Yeung, Barbara Zivkov, Gülbin Ezer Unified Datapath: An Innovative Approach to the Design of a Low-Cost, Low-Power, High-Performance Microprocessor. Search on Bibsonomy COMPCON The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Tsuyoshi Isshiki, Wayne Wei-Ming Dai Hight-Performance Datapath Implementation on Field-Programmable Multi-Chip Module (FPMCM). Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Steve C.-Y. Huang, Wayne H. Wolf How datapath allocation affects controller delay. Search on Bibsonomy HLSS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17D. Sreenivasa Rao, Fadi J. Kurdahi Controller and datapath trade-offs in hierarchical RT-level synthesis. Search on Bibsonomy HLSS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Hitomi Sato, Michihiro Yamazaki, Masahiro Fujita YEPHCAD and FLORA: Logic Synthesis for Control and Datapath. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Baher Haroun, Behzard Sajjadi Optimal Datapath Synthesis of Partitioned Signal Processing Algorithm for Multiple FPGAs. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17John Paul Neil Simulated annealing based datapath synthesis. Search on Bibsonomy 1994   RDF
17Andrew A. Duncan, David C. Hendry DSP datapath synthesis eliminating global interconnect. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Lisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey High level synthesis for reconfigurable datapath structures. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Thomas Charles Wilson, Nilanjan Mukherjee, Manoj K. Garg, Dilip K. Banerji An Integrated and Accelerated ILP Solution for Scheduling, Module Allocation, and Binding in Datapath Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Vincent Olive, R. Airiau, J. M. Bergé, Anne Robert Using VHDL for datapath synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Goro Suzuki, Tetsuya Yamamoto, Kyoji Yuyama, Kotaro Hirasawa MOSAIC: a tile-based datapath layout generator. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Masahiro Fujita RTL Design Verification by Making Use of Datapath Information. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Biswadip Mitra, Parimal Pal Chaudhuri Combined Synthesis of Easily Testable Datapath and Control Designs. Search on Bibsonomy VLSI Design The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17C. Safina, Régis Leveugle Clocking scheme selection for circuits made up of a controller and a datapath. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
17Steve C.-Y. Huang, Wayne H. Wolf Timing-Driven State Assignment for Controller-Datapath Systems. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
17Lotfi Ben Ammar, Alain Greiner FITPATH: A Process-Independent Datapath Compiler Providing High Density Layout. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
17Amnon Baron Cohen, Michael Shechory Pathway: A datapath layout assembler. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
17David W. Knapp Datapath optimization using feedback. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
17Allen C.-H. Wu, Daniel D. Gajski Glue-logic partitioning for floorplans with a rectilinear datapath. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
17Amnon Baron Cohen, Michael Shechory Track Assignment in the Pathway Datapath Layout Assembler. Search on Bibsonomy ICCAD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17C. Y. Roger Chen, Michael Z. Moricz Datapath Scheduling for Two-Level Pipelining. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17S. J. Harrold Gate array implementation of a multichannel accumulator using a serial datapath. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Neerav Berry, Barry M. Pangrle SCHALLOC: an algorithm for simultaneous scheduling & connectivity binding in a datapath synthesis system. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17David Knapp Feedback-Driven Datapath Optimization in Fasolt. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Donald Curry Schematic specification of datapath layout. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17N. S. H. Brooks, R. J. Mack A novel approach to the synthesis of practical datapath architectures using artificial intelligence techniques. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Mark Birman, George Chu, Larry Hu, John McLeod, N. Bedard, F. Ware, L. Torban, C. M. Lim Design of a high-speed arithmetic datapath. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Peter Pfahler Automated datapath synthesis: a compilation approach. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17Yau-Hwang Kuo, Jang-Pong Hsu, Ling Yeung Kung A graph-based algorithm for the automated datapath synthesis of ASM. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17Alice C. Parker, Jorge T. Pizarro, Mitch J. Mlinar MAHA: a program for datapath synthesis. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
9Rupesh S. Shelar, Marek Patyra Impact of local interconnects on timing and power in a high performance microprocessor. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CAD, delay, interconnects, power, microprocessor
9Anja Niedermeier, Kjetil Svarstad, Frank Bouwens, Jos Hulzink, Jos Huisken The challenges of implementing fine-grained power gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power minimization, analysis, power management, register-transfer-level, power modeling, power gating
9Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li 0001, Gi-Joon Nam, Charles B. Winn Detecting tangled logic structures in VLSI netlists. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF congestion prediction, rent rule, tangled logic, clustering
9Huynh Phung Huynh, Tulika Mitra Runtime Adaptive Extensible Embedded Processors - A Survey. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Nainesh Agarwal, Nikitas J. Dimopoulos Towards Automated FSMD Partitioning for Low Power Using Simulated Annealing. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Roope Kaivola, Rajnish Ghughal, Naren Narasimhan, Amber Telfer, Jesse Whittemore, Sudhindra Pandav, Anna Slobodová, Christopher Taylor, Vladimir A. Frolov, Erik Reeber, Armaghan Naik Replacing Testing with Formal Verification in Intel CoreTM i7 Processor Execution Engine Validation. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Soft vector processors vs FPGA custom hardware: measuring and reducing the gap. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF eembc, soft, viram, fpga, adaptable, vector, data parallel, processor, simd
9Paul Teehan, Guy G. Lemieux, Mark R. Greenstreet Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip serdes, fpga, reliable, network-on-chip, interconnect, programmable, wave pipelining, bit-serial, surfing
9David Sheldon, Frank Vahid Making good points: application-specific pareto-point generation for design space exploration using statistical methods. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF configurable platform, fpga, low-power, exploration, speedup, pruning, pareto-optimal, design of experiments
9Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano, Tsutomu Yoshinaga Prediction router: Yet another low latency on-chip router architecture. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9George Michelogiannakis, James D. Balfour, William J. Dally Elastic-buffer flow control for on-chip networks. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Sílvio R. F. de Fernandes, Bruno Cruz de Oliveira, Ivan Saraiva Silva Using NoC routers as processing elements. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC, system-on-chip, network-on-chip, routing algorithm, NoC, MP-SoC
9Lei Gao, Jia Huang, Jianjiang Ceng, Rainer Leupers, Gerd Ascheid, Heinrich Meyr TotalProf: a fast and accurate retargetable source code profiler. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF source code profiling, architecture description language, performance estimation, instruction set simulation
9Xu Guo 0001, Patrick Schaumont Optimizing the Control Hierarchy of an ECC Coprocessor Design on an FPGA Based SoC Platform. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Rooju Chokshi, Krzysztof S. Berezowski, Aviral Shrivastava, Stanislaw J. Piestrak Exploiting residue number system for power-efficient digital signal processing in embedded processors. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, power, processor, residue number system, per-
9Chetan Murthy, Prabhat Mishra 0001 Bitmask-based control word compression for NISC architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF no instruction set computer, compression
9Yang Sun 0001, Joseph R. Cavallaro High throughput VLSI architecture for soft-output mimo detection based on a greedy graph algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mimo detection, VLSI architecture, ASIC design
9Mark Woh, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner AnySP: anytime anywhere anyway signal processing. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fully programmable architecture, high-end signal processing, single-instruction multiple-data parallelism, software defined radio, low-power architecture, simd
9Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel A Compact and Accurate Gaussian Variate Generator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Bertrand Le Gal, Emmanuel Casseau, Sylvain Huet Dynamic Memory Access Management for High-Performance DSP Applications Using High-Level Synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Namrata Shekhar, Priyank Kalla, M. Brandon Meredith, Florian Enescu Simulation Bounds for Equivalence Verification of Polynomial Datapaths Using Finite Ring Algebra. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Sabyasachi Das, Sunil P. Khatri A Novel Hybrid Parallel-Prefix Adder Architecture With Efficient Timing-Area Characteristic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Sandro Bartolini, Irina Branovic, Roberto Giorgi, Enrico Martinelli Effects of Instruction-Set Extensions on an Embedded Processor: A Case Study on Elliptic Curve Cryptography over GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor/microcomputer applications, Performance Evaluation, Cryptography, Elliptic curves, Public key cryptosystems, Processor Architectures, Pipeline processors, Portable devices, Hardware/software interfaces, Instruction set design
9Fabrice Urban, Ronan Poullaouec, Jean-François Nezan, Olivier Déforges A Flexible Heterogeneous Hardware/Software Solution for Real-Time HD H.264 Motion Estimation. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ajay Kumar Verma, Philip Brisk, Paolo Ienne Data-Flow Transformations to Maximize the Use of Carry-Save Representation in Arithmetic Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Seda Ogrenci Memik, Nikolaos Bellas, Somsubhra Mondal Presynthesis Area Estimation of Reconfigurable Streaming Accelerators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Zhi Guo, Walid A. Najjar, Betul Buyukkurt Efficient hardware code generation for FPGAs. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, high-level synthesis, VHDL, Reconfigurable computing, data reuse
9Joseph J. Sharkey, Jason Loew, Dmitry V. Ponomarev Reducing register pressure in SMT processors through L2-miss-driven early register release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register file, Simultaneous multithreading
9Sabyasachi Das, Sunil P. Khatri Resource sharing among mutually exclusive sum-of-product blocks for area reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Thomas Lenart, Mats Gustafsson, Viktor Öwall A Hardware Acceleration Platform for Digital Holographic Imaging. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF digital holography, flexible FFT, data scaling, hybrid floating-point, burst oriented memory, matrix transpose
9Rohit Saraswat, Brandon Eames On the Use of DesertFD to Generate Custom Architectures for H.264 Motion Estimation. Search on Bibsonomy ECBS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Architecture derivation, DesertFD, Motion Estimation, Design Space Exploration, H.264, Algorithm selection
9Zaher S. Andraus, Mark H. Liffiton, Karem A. Sakallah Reveal: A Formal Verification Tool for Verilog Designs. Search on Bibsonomy LPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ralf König 0001, Timo Stripf, Jürgen Becker 0001 A Novel Recursive Algorithm for Bit-Efficient Realization of Arbitrary Length Inverse Modified Cosine Transforms. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yonghyun Hwang, Samar Abdi, Daniel Gajski Cycle-approximate Retargetable Performance Estimation at the Transaction Level. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Huiju Cheng, Howard M. Heys, Cheng Wang PUFFIN: A Novel Compact Block Cipher Targeted to Embedded Digital Systems. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ling Zhang, Jianhua Liu, Haikun Zhu, Chung-Kuan Cheng, Masanori Hashimoto High performance current-mode differential logic. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Swaroop Ghosh, Kaushik Roy 0001 Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yang Sun 0001, Joseph R. Cavallaro Unified decoder architecture for LDPC/turbo codes. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Chun Jason Xue, Tiantian Liu 0001, Zili Shao, Jingtong Hu, Zhiping Jia, Weijia Jia 0001, Edwin Hsing-Mean Sha Address assignment sensitive variable partitioning and scheduling for DSPS with multiple memory banks. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Johan Eilert, Di Wu 0003, Dake Liu Implementation of a programmable linear MMSE detector for MIMO-OFDM. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Zdenek Vasícek, Martin Zádník, Lukás Sekanina, Jirí Tobola On Evolutionary Synthesis of Linear Transforms in FPGA. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Saar Drimer, Tim Güneysu, Christof Paar DSPs, BRAMs and a Pinch of Logic: New Recipes for AES on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Filipe Oliveira, Castro M. P. Silva Santos, Fernando A. Castro, José Carlos Alves A Custom Processor for a TDMA Solver in a CFD Application. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Samar Yazdani, Joel Cambonie, Bernard Pottier Programming Reconfigurable Decoupled Application Control Accelerator For Mobile Systems. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coarse-grain architecture, shared-memory programming model, embedded systems, multimedia applications
9Neal Tew, Priyank Kalla, Namrata Shekhar, Sivaram Gopalakrishnan Verification of arithmetic datapaths using polynomial function models and congruence solving. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Xu Wang, Ge Gan, Joseph B. Manzano, Dongrui Fan, Shuxu Guo A Quantitative Study of the On-Chip Network and Memory Hierarchy Design for Many-Core Processor. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose VESPA: portable, scalable, and flexible FPGA-based vector processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SPREE, VESPA, VIRAM, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
9Atsushi Miyamoto, Naofumi Homma, Takafumi Aoki, Akashi Satoh Systematic design of high-radix Montgomery multipliers for RSA processors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ashur Rafiev, Julian P. Murphy, Danil Sokolov, Alexandre Yakovlev Conversion driven design of binary to mixed radix circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Nainesh Agarwal, Nikitas J. Dimopoulos FSMD partitioning for low power using simulated annealing. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Weining Hao, Martin Radetzki A data traffic efficient H.264 deblocking IP. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Akashi Satoh ASIC hardware implementations for 512-bit hash function Whirlpool. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yang Liu 0016, Fei Sun, Tong Zhang 0002 Energy-efficient soft-output trellis decoder design using trellis quasi-reduction and importance-aware clock skew scheduling. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1008 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license