The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1967 (16) 1968-1972 (16) 1973-1977 (20) 1978-1980 (21) 1981-1983 (23) 1984-1985 (18) 1986-1987 (15) 1988 (34) 1989 (37) 1990 (38) 1991 (18) 1992 (30) 1993 (30) 1994 (40) 1995 (40) 1996 (24) 1997 (16) 1998 (17) 1999-2000 (37) 2001-2002 (20) 2003-2004 (30) 2005 (36) 2006 (31) 2007 (34) 2008 (19) 2009 (15) 2010-2011 (19) 2012-2014 (20) 2015-2017 (17) 2018-2020 (20) 2021-2022 (17) 2023-2024 (10)
Publication types (Num. hits)
article(342) book(4) inproceedings(416) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2083 occurrences of 945 keywords

Results
Found 778 publication records. Showing 778 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Branislav Meandzija Archetype: A Unified Method for the Design and Implementation of Protocol Architectures. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF data-driven concurrent execution model, abstract protocol architecture specifications, performance constraints, X.25-level 3-like protocol, protocols, data structures, data structures, natural languages, automatic programming, automatic programming, protocol design, automated design, multiprocessing programs, simulation languages, protocol architectures, specification technique, Archetype
13Alexander Thomasian, Paul F. Bay Analytic Queueing Network Models for Parallel Processing of Task Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF Computer system performance, parallel processing, Markov chain, task scheduling, multiprogramming, graph model, multiprocessing, queueing network model, hierarchical decomposition, data allocation, task system, occurrence graph
13Woei Lin, Chuan-lin Wu Reconfiguration Procedures for a Polymorphic and Partitionable Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF connection conflicts, reconfigurable multiprocessors, Parallel processing, interconnection networks, multiprocessing, circuit switching, mapping problems
13Steven R. Vegdahl A Survey of Proposed Architectures for the Execution of Functional Languages. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF demand-drive architectures, programming languages, functional programming, Computer architecture, data flow, multiprocessing, data-driven architectures
13Tsutomu Hoshino, Toshio Kawai, Tomonori Shirakawa, Jun'ichi Higashino, Akira Yamaoka, Hachidai Ito, Takashi Sato, Kazuo Sawada PACS: A Parallel Microprocessor Array for Scientific Calculations Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF highly parallel processors, multimicroprocessors, nearest neighbor communication, scientific calculation, distributed systems, parallel algorithms, synchronization, multiprocessors, performance measurement, supercomputer, parallel language, processor architecture, MIMD, array processors, multiprocessing, parallel processors
13Suchai Thanawastien, Victor P. Nelson Interference Analysis of Shuffle/Exchange Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF shuffle/exchange networks, interconnection networks, performance modeling, Markov modeling, interference, Blocking probability, memory bandwidth, multiprocessing, loading
13Yih-Chyun Jenq Digital Convolution Algorithm for Pipelining Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF digital convolution algorithm, pipelining, multiprocessing, Dedicated processor, tree machine
13Ellis Horowitz, Alessandro Zorat The Binary Tree as an Interconnection Network: Applications to Multiprocessor Systems and VLSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF VLSI, networks, parallelism, Binary trees, multiprocessing
13James R. McGraw Data Flow Computing - Software Development. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF VAL, concurrency, data flow, multiprocessing, Applicative programming
13Leslie Lamport How to Make a Multiprocessor Computer That Correctly Executes Multiprocess Programs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF hardware correctness, parallel processing, multiprocessing, concurrent computing, Computer design
13David B. Lomet A Practical Deadlock Avoidance Algorithm for Data Base Systems. Search on Bibsonomy SIGMOD Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF data base systems, resource allocation, operating systems, deadlock, multiprocessing
13Robert M. Graham Protection in an information processing utility. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF controlled access, information processing utility, reliable operation, shared information, security, privacy, segmentation, protection, multiprogramming, multiprocessing, multi-user, time-sharing
13Edsger W. Dijkstra The Structure of "THE"-Multiprogramming System. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF cooperating sequential processes, input-output buffering, multiprogramming system, real-time debugging, system hierarchy, operating system, program verification, multiprogramming, multiprocessing, processor sharing, system structure, synchronizing primitives, system levels
13Earl C. Van Horn Three criteria for designing computing systems to facilitate debugging. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF computer design criteria, computer systems design, computing reliability, deterministic computers, input equipment, input equipment design, multiprogrammed system design, multiprogrammed systems, parallel processing, parallel programming, parallel, debugging, operating systems, programming, programming languages, information security, program testing, determinism, program debugging, protection, multiprogramming, multiprocessing, repeatability, computer systems, memory protection, reproducibility, programming language semantics, programming language design, virtual computers, computer design, information privacy, program semantics, operating systems design, multi processing
13Peter J. Denning The Working Set Model for Program Behaviour. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF general operating system concepts, scheduling, resource allocation, operating systems, program models, multiprogramming, storage allocation, multiprocessing, program behavior
12Simona Perri, Francesco Ricca, Marco Sirianni A parallel ASP instantiator based on DLV. Search on Bibsonomy DAMP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallelism, answer set programming, grounding, instantiation
12Carlos S. de La Lama, Pekka Jääskeläinen, Jarmo Takala Programmable and Scalable Architecture for Graphics Processing Units. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TTA, GPU, GPGPU, VLIW, OpenGL, GLSL, LLVM
12Antonino Tumeo, Christian Pilato, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto HW/SW methodologies for synchronization in FPGA multiprocessors. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, synchronization, multiprocessors
12P. Ezudheen, Priya Chandran, Joy Chandra, Biju Puthur Simon, Deepak Ravi Parallelizing SystemC Kernel for Fast Hardware Simulation on SMP Machines. Search on Bibsonomy PADS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF OSCI, Core affinity, SoC, SystemC, SMP, TLM
12Michael D. Black Build an operating system from scratch: a project for an introductory operating systems course. Search on Bibsonomy SIGCSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF education, operating systems
12Jingnan Yao, Jiani Guo, Laxmi N. Bhuyan Ordered Round-Robin: An Efficient Sequence Preserving Packet Scheduler. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Keqin Li 0001 Performance Analysis of Power-Aware Task Scheduling Algorithms on Multiprocessor Computers with Dynamic Voltage and Speed. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sébastien Bilavarn, Cécile Belleudy, Michel Auguin, T. Dupont, Anne-Marie Fouilliart Embedded Multicore Implementation of a H.264 Decoder with Power Management Considerations. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Natalia Kryvinska, Christine Strauss, Lukas Auer, Peter Zinterhof Hierarchical Modelling and an Approximate Analysis of Parallel Queues Models to the NGN SCEs. Search on Bibsonomy FIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Next Generation Network (NGN), Queuing theory, Hierarchical modeling, Parallel queues, Service Creation Environment (SCE)
12Hagit Attiya, Eshcar Hillel Highly-Concurrent Multi-word Synchronization. Search on Bibsonomy ICDCN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Satoshi Yamada, Shigeru Kusakabe Effect of context aware scheduler on TLB. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Christof Pitter, Martin Schoeberl Performance evaluation of a java chip-multiprocessor. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Tony Degroot, Robert M. Ferencz, Mark Havstad, Neil Eugene Hodge, Jerry Lin, Dennis Parsons, Michael Puso, Jerome Solberg, Edward Zywicz Accomplishments and Challenges in Code Development for Parallel and Multimechanics Simulations. Search on Bibsonomy VECPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Chen-Yong Cher, Michael Gschwind Cell GC: using the cell synergistic processor as a garbage collection coprocessor. Search on Bibsonomy VEE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BDW, SPU, explicitly managed memory hierarchies, local store, garbage collection, accelerator, SPE, coprocessor, cell, mark-sweep
12Yan Luo, Jia Yu 0008, Jun Yang 0002, Laxmi N. Bhuyan Conserving network processor power consumption by exploiting traffic variability. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, low power, Network processor, clock gating
12Christopher Ostler, Karam S. Chatha, Vijay Ramamurthi, Krishnan Srinivasan ILP and heuristic techniques for system-level design on network processor architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiprocessor, block multithreading
12Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MLP-Aware Dynamic Cache Partitioning. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Yi Li, Kamal Gupta 0001 Motion Planning of Multiple Agents in Virtual Environments on Parallel Architectures. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Christof Pitter, Martin Schoeberl Time Predictable CPU and DMA Shared Memory Access. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Daniel L. Wang, Charles S. Zender, Stephen F. Jenks Server-Side Parallel Data Reduction and Analysis. Search on Bibsonomy GPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Dean M. Tullsen HCW Keynote Address Holistic Design of Multi-Core Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Frederica Darema The Next Generation Software Workshop - IPDPS'07. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Peng Li, Steve Zdancewic Combining events and threads for scalable network services implementation and evaluation of monadic, application-level concurrency primitives. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scalability, networking, concurrency, programming, implementation, haskell, event, thread, monad
12Minyeol Seo, Ha Seok Kim, Ji Chan Maeng, Jimin Kim, Minsoo Ryu An Effective Design of Master-Slave Operating System Architecture for Multiprocessor Embedded Systems. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Master-slave, remote invocation, kernel configuration, multiprocessor, design issues
12Christof Pitter, Martin Schoeberl Towards a Java multiprocessor. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, multiprocessor, shared memory
12Davy Genbrugge, Lieven Eeckhout Statistical simulation of chip multiprocessors running multi-program workloads. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Pawel Gepner, David L. Fraser, Michal Filip Kowalik Performance Evolution and Power Benefits of Cluster System Utilizing Quad-Core and Dual-Core Intel Xeon Processors. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-core processors, quad-core processors, parallel processing, benchmarks, HPC, multi-core processors
12Xiaofang Wang, Sotirios G. Ziavras Performance-Energy Tradeoffs for Matrix Multiplication on FPGA-Based Mixed-Mode Chip Multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Lixia Liu, Xiao-Feng Li, Michael K. Chen, Roy Dz-Ching Ju A Throughput-Driven Task Creation and Mapping for Network Processors. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Intel IXP, Task Creation and Mapping, Throughput, Network Processors, Dataflow Programming
12David K. Tam, Reza Azimi, Michael Stumm Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling
12Ali-Reza Adl-Tabatabai, Christos Kozyrakis, Bratin Saha Transactional programming in a multi-core environment. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallel programming, transactional memory, atomicity, hardware architecture
12Francisco Ortiz Real-Time Elimination of Brightness in Color Images by MS Diagram and Mathematical Morphology. Search on Bibsonomy CAIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF brightness elimination, color mathematical morphology, connected vectorial filters
12Xiaoqi Yang 0003, Qilong Zheng, Guoliang Chen 0001, Shujuan Liu, Jun Luan Transactional Memory Execution for Parallel Multithread Programming without Lock. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Pierre G. Paulin, Chuck Pilkington, Michel Langevin, Essaid Bensoudane, Damien Lyonnard, Olivier Benny, Bruno Lavigueur, David Lo 0002, Giovanni Beltrame, Vincent Gagné, Gabriela Nicolescu Parallel programming models for a multiprocessor SoC platform applied to networking and multimedia. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Xinping Zhu, Wei Qin, Sharad Malik Modeling operation and microarchitecture concurrency for communication architectures with application to retargetable simulation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Tero Kangas, Petri Kukkala, Heikki Orsila, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen, Jouni Riihimäki, Kimmo Kuusilinna UML-based multiprocessor SoC design framework. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design flow, architecture exploration, UML 2.0
12Abhijit Sarkar, Nabil Benabbou, Roger G. Ghanem Domain Decompostion Of Stochastic PDEs and its Parallel. Search on Bibsonomy HPCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ryan Eccles, Deborah A. Stacey Understanding the Parallel Programmer. Search on Bibsonomy HPCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hector Posadas, Jesús Ádamez, Pablo Sánchez, Eugenio Villar, Francisco Blasco POSIX modeling in SystemC. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Dimitrios S. Nikolopoulos Facing the challenges of multicore processor technologies using autonomic system software. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Amir Hossein Ghamarian, Marc Geilen, Sander Stuijk, Twan Basten, Bart D. Theelen, Mohammad Reza Mousavi 0001, A. J. M. Moonen, Marco Bekooij Throughput Analysis of Synchronous Data Flow Graphs. Search on Bibsonomy ACSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Pawel Gepner, Michal Filip Kowalik Multi-Core Processors: New Way to Achieve High System Performance. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Rajarshi Mukherjee, Seda Ogrenci Memik Physical aware frequency selection for dynamic thermal management in multi-core systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic thermal management, multi-core system
12Guy Amit, Yaron Caspi, Ran Vitale, Adi Pinhas Scalability of Multimedia Applications on Next-Generation Processors. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Björn Jäger, Mario Porrmann, Ulrich Rückert 0001 Bio-inspired massively parallel architectures for nanotechnologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Tim Brecht, G. John Janakiraman, Brian Lynn, Vikram A. Saletore, Yoshio Turner Evaluating network processing efficiency with processor partitioning and asynchronous I/O. Search on Bibsonomy EuroSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF asynchronous I/O, TCP/IP, network processing
12Bratin Saha, Ali-Reza Adl-Tabatabai, Richard L. Hudson, Chi Cao Minh, Ben Hertzberg McRT-STM: a high performance software transactional memory system for a multi-core runtime. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF atomic constructs, two-phase locking and read-versioning, software transactional memory, runtime environment
12Feihui Li, Chrysostomos Nicopoulos, Thomas D. Richardson, Yuan Xie 0001, Narayanan Vijaykrishnan, Mahmut T. Kandemir Design and Management of 3D Chip Multiprocessors Using Network-in-Memory. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Franklin E. Powers Jr., Gita Alaghband Introducing the hydra parallel programming system. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Peter H. Welch, Fred R. M. Barnes, Fiona Polack Communicating Complex Systems. Search on Bibsonomy ICECCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Xinping Zhu, Wei Qin Prototyping a fault-tolerant multiprocessor SoC with run-time fault recovery. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault-tolerance, system-on-chip, network-on-chip, multiprocessor system, run-time verification, retargetable simulation
12Kyung-suk Lhee, Steve J. Chapin Detection of file-based race conditions. Search on Bibsonomy Int. J. Inf. Sec. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Time-of-check-to-time-of-use (TOCTTOU) flaws, Security, Race condition
12Venkata Krishnan, David Mayhew Localized Congestion Control in Advanced Switching Interconnects. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Monk-Ping Leong, Chi Chiu Cheung, Chin-Wang Cheung, Polly P. M. Wan, Ivan K. H. Leung, Winnie M. M. Yeung, Wing Seung Yuen, Kenneth S. K. Chow, Kwong-Sak Leung, Philip Heng Wai Leong CPE: A Parallel Library for Financial Engineering Applications. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Clustertech parallel environment, Financial engineering, CPE architecture, Finite-difference calculation, Parallel computing, Monte Carlo simulation
12Partha Pratim Pande, Cristian Grecu, Michael Jones, André Ivanov, Resve A. Saleh Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF system-on-chip, Network-on-chip, interconnect architecture, MP-SoC, infrastructure IP
12JoAnn M. Paul, Donald E. Thomas, Andrew S. Cassidy High-level modeling and simulation of single-chip programmable heterogeneous multiprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF schedulers, Computer-aided design, performance modeling, system modeling, heterogeneous multiprocessors
12Ejub Kajan, Leonid Stoimenov Toward an ontology-driven architectural framework for B2B. Search on Bibsonomy Commun. ACM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Luiz André Barroso The price of performance. Search on Bibsonomy ACM Queue The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Thomas C. S. Cheah, Kok-Why Ng A Practical Implementation of a 3-D Game Engine. Search on Bibsonomy CGIV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jiwei Lu, Abhinav Das, Wei-Chung Hsu, Khoa Nguyen, Santosh G. Abraham Dynamic Helper Threaded Prefetching on the Sun UltraSPARC CMP Processor. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Lawrence Spracklen, Santosh G. Abraham Chip Multithreading: Opportunities and Challenges. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Factory: An Object-Oriented Parallel Programming Substrate for Deep Multiprocessors. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreading substrate, Object-oriented parallel programming, Deep parallel architectures, Multiparadigm parallelism, Portability, Programmability
12Yuxing Tang, Kun Deng, Xingming Zhou The Design Space of CMP vs. SMT for High Performance Embedded Processor. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Michael Goldweber, Renzo Davoli, Mauro Morsiani The Kaya OS project and the muMPS hardware emulator. Search on Bibsonomy ITiCSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF education, operating systems, emulation, hardware
12Robert L. McGregor, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Scheduling Algorithms for Effective Thread Pairing on Hybrid Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Annie P. Foong, Gary L. McAlpine, Dave B. Minturn, Greg J. Regnier, Vikram A. Saletore An Architecture for Software-Based iSCSI on Multiprocessor Servers. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Vikram A. Saletore, Paul M. Stillwell Jr., John A. Wiegert, Phil Cayton, Jeff Gray 0001, Greg J. Regnier Efficient Direct User Level Sockets for an Intel XeonTM Processor Based TCP On-Load Engin. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jiani Guo, Jingnan Yao, Laxmi N. Bhuyan An efficient packet scheduling algorithm in network processors. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Björn Jäger, Jörg-Christian Niemann, Ulrich Rückert 0001 Analytical approach to massively parallel architectures for nanotechnologies. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Synthesis of Application-Specific Heterogeneous Multiprocessor Architectures Using Extensible Processors. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Li Zhao 0002, Yan Luo, Laxmi N. Bhuyan, Ravishankar R. Iyer 0001 Design and Implementation of a Content-Aware Switch Using a Network Processor. Search on Bibsonomy Hot Interconnects The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yan Luo, Jia Yu 0008, Jun Yang 0002, Laxmi N. Bhuyan Low power network processor design using clock gating. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, network processors
12Li Zhao 0002, Yan Luo, Laxmi N. Bhuyan, Ravi R. Iyer 0001 SpliceNP: a TCP splicer using a network processor. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TCP splicing, network processors
12Doug Burger, Todd M. Austin, Stephen W. Keckler Recent extensions to the SimpleScalar tool suite. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Serdar Tasiran, Yuan Yu, Brannon Batson Linking Simulation with Formal Verification at a Higher Level. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12José Antonio Martínez, Leocadio G. Casado, José A. Alvarez, Inmaculada García Interval Parallel Global Optimization with Charm++. Search on Bibsonomy PARA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ian Kuon, Navid Azizi, Ahmad Darabiha, Aaron Egier, Paul Chow FPGA-based supercomputing: an implementation for molecular dynamics. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12R. Sharmila, M. V. Lakshmi Priya, Ranjani Parthasarathi An Active Framework for a WLAN Access Point Using Intel's IXP1200 Network Processor. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Michael Wolfe Supercompilers, the AMD Opteron, and Your Cell Phone. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Alexandra Fedorova, Christopher Small 0001, Daniel Nussbaum, Margo I. Seltzer Chip multithreading systems need a new operating system scheduler. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ruchira Sasanka, Sarita V. Adve, Yen-Kuang Chen, Eric Debes The energy efficiency of CMP vs. SMT for multimedia workloads. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multimedia, energy efficiency, CMP, SMT
12Christopher D. Rickett, Sung-Eun Choi, Bradford L. Chamberlain Compiling High-Level Languages for Vector Architectures. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Chain-Wu Lee, Laurence Tianruo Yang, Chun-Hsi Huang, Sanguthevar Rajasekaran, D. Frank Hsu Distributed Path-Based Inference in Semantic Networks. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Anthony S. Fong A computer architecture with access control and cache option tags on individual instruction operands. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF operand descriptor, optional encaching, system attributes, access control, data coherency
Displaying result #601 - #700 of 778 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license