|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5436 occurrences of 2452 keywords
|
|
|
Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
22 | Yih-Fang Lin, Chien-Min Wang, Jan-Jan Wu |
Optimizing I/O server placement for parallel I/O on switch-based irregular networks. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
I/O server placement, Parallel I/O, Irregular networks |
22 | Hai Liu 0001, Peng-Jun Wan, Xiaohua Jia |
On optimal placement of relay nodes for reliable connectivity in wireless sensor networks. |
J. Comb. Optim. |
2006 |
DBLP DOI BibTeX RDF |
Fault-tolerant, Sensor networks, Approximation algorithms, Relay node placement |
22 | Jukka Suomela |
Approximating relay placement in sensor networks. |
PE-WASUN |
2006 |
DBLP DOI BibTeX RDF |
balanced data gathering, relay placement, wireless sensor networks, energy constraints |
22 | Young-Jin Kim 0002, Kwon-Taek Kwon, Jihong Kim 0001 |
Energy-efficient file placement techniques for heterogeneous mobile storage systems. |
EMSOFT |
2006 |
DBLP DOI BibTeX RDF |
file placement, heterogeneous mobile storage systems, separating I/O operations, energy conservation |
22 | Wenyi Feng, Jonathan W. Greene |
Post-placement interconnect entropy: how many configuration bits does a programmable logic device need? |
SLIP |
2006 |
DBLP DOI BibTeX RDF |
switching requirement, FPGAs, lower bound, entropy, interconnect, placement, rent's rule, programmable interconnect |
22 | Bernhard Egger 0002, Chihun Kim, Choonki Jang, Yoonsung Nam, Jaejin Lee, Sang Lyul Min |
A dynamic code placement technique for scratchpad memory using postpass optimization. |
CASES |
2006 |
DBLP DOI BibTeX RDF |
embedded systems, compilers, scratchpad memory, demand paging, code placement, postpass optimization, heterogeneous memory |
22 | Chiu-Wing Sham, Evangeline F. Y. Young, Chris C. N. Chu |
Optimal cell flipping in placement and floorplanning. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
flipping, placement, floorplanning, orientation, wirelength |
22 | Bong-Jun Ko, Dan Rubenstein |
Distributed self-stabilizing placement of replicated resources in emerging networks. |
IEEE/ACM Trans. Netw. |
2005 |
DBLP DOI BibTeX RDF |
convergence, graph coloring, replica placement |
22 | Savio S. H. Tse |
Approximate Algorithms for Document Placement in Distributed Web Servers. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
Distributed Web server, document placement, document replication, file allocation problem, approximate algorithm, load balancing, NP-completeness |
22 | Sung Kyu Lim, Ramprasad Ravichandran, Michael T. Niemier |
Partitioning and placement for buildable QCA circuits. |
ACM J. Emerg. Technol. Comput. Syst. |
2005 |
DBLP DOI BibTeX RDF |
partitioning, placement, Nanotechnology, quantum-dot cellular automata |
22 | Larry Raisanen, Roger M. Whitaker |
Comparison and Evaluation of Multiple Objective Genetic Algorithms for the Antenna Placement Problem. |
Mob. Networks Appl. |
2005 |
DBLP DOI BibTeX RDF |
antenna placement, genetic algorithms |
22 | Wolfgang Bangerth, Hector Klie, Vincent Matossian, Manish Parashar, Mary F. Wheeler |
An Autonomic Reservoir Framework for the Stochastic Optimization of Well Placement. |
Clust. Comput. |
2005 |
DBLP DOI BibTeX RDF |
autonomic Grid middleware, optimal well placement, reservoir management, Grid computing, stochastic optimization |
22 | Keqiu Li, Hong Shen 0001, Francis Y. L. Chin |
Placement Solutions for Multiple Versions of A Multimedia Object. |
ISORC |
2005 |
DBLP DOI BibTeX RDF |
transparent data access, optimization, multimedia, transcoding, Web caching, object placement |
22 | Brent Goplen, Sachin S. Sapatnekar |
Thermal via placement in 3D ICs. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
3-D VLSI, thermal gradient, thermal optimization, thermal via, routing, placement, temperature, finite element analysis, 3-D IC |
22 | Abdelkrim Mebarki, Pierre Alliez, Olivier Devillers |
Farthest Point Seeding for Efficient Placement of Streamlines. |
IEEE Visualization |
2005 |
DBLP DOI BibTeX RDF |
Streamline placement, farthest point seeding, variable density, Delaunay triangulation, multiresolution |
22 | Aishwarya Dubey |
P/G Pad Placement Optimization: Problem Forumulation for Best IR Drop. |
ISQED |
2005 |
DBLP DOI BibTeX RDF |
P/G (Power/Ground) pad placement, current sink, package resistance, package inductance, IR drop |
22 | Fei Su, Krishnendu Chakrabarty |
Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
synthesis, placement, defect tolerance, microfluidics, biochip |
22 | Zhong Xiu, Rob A. Rutenbar |
Timing-driven placement by grid-warping. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
algorithms, placement |
22 | Kenneth Eguro, Scott Hauck, Akshay Sharma |
Architecture-adaptive range limit windowing for simulated annealing FPGA placement. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
architecture-adaptive, range limiting, simulated annealing, placement, windowing, reconfigurable logic |
22 | Evan Cooke, Michael D. Bailey, Zhuoqing Morley Mao, David Watson 0001, Farnam Jahanian, Danny McPherson |
Toward understanding distributed blackhole placement. |
WORM |
2004 |
DBLP DOI BibTeX RDF |
blackhole monitoring, blackhole placement, globally scoped threats, internet motion sensor, network security, computer worms |
22 | Peter J. Osler |
Placement driven synthesis case studies on two sets of two chips: hierarchical and flat. |
ISPD |
2004 |
DBLP DOI BibTeX RDF |
synthesis, placement, application specific integrated circuit (ASIC), register transfer level (RTL), static timing analysis (STA), netlist |
22 | Qinghua Liu, Malgorzata Marek-Sadowska |
A study of netlist structure and placement efficiency. |
ISPD |
2004 |
DBLP DOI BibTeX RDF |
netlist structure, efficiency, placement |
22 | Renqiu Huang, Ranga Vemuri |
Forward-Looking Macro Generation and Relational Placement During High Level Synthesis to FPGAs. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
critical net, performance, placement, Behavioral synthesis, macro |
22 | Kan Hung Wan, Chris Loeser |
An Overlay Network Architecture for Data Placement Strategies in a P2P Streaming Network. |
AINA (1) |
2004 |
DBLP DOI BibTeX RDF |
Content Replication, Peer-to-Peer, Streaming, Overlay Network, Video on Demand, Data Placement |
22 | Zhong Xiu, James D. Z. Ma, Suzanne M. Fowler, Rob A. Rutenbar |
Large-scale placement by grid-warping. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
algorithms, placement |
22 | Min Zhao 0001, Yuhong Fu, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda |
Optimal placement of power supply pads and pins. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
pad optimization, pad placement |
22 | Manish Handa, Ranga Vemuri |
An efficient algorithm for finding empty space for online FPGA placement. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
online placement, partially reconfigurable FPGAs, reconfigurable computing |
22 | Ritesh Kumar, Jasleen Kaur 0001 |
Efficient beacon placement for network tomography. |
Internet Measurement Conference |
2004 |
DBLP DOI BibTeX RDF |
beacon placement, optimality, network monitoring, tomography |
22 | Navaratnasothie Selvakkumaran, Phiroze N. Parakh, George Karypis |
Perimeter-degree: a priori metric for directly measuring and homogenizing interconnection complexity in multilevel placement. |
SLIP |
2003 |
DBLP DOI BibTeX RDF |
interconnection complexity, multilevel global placement, nonhomogeneity, perimeter-degree, congestion, routability |
22 | Jianjun Chen 0001, David J. DeWitt, Jeffrey F. Naughton |
Design and Evaluation of Alternative Selection Placement Strategies in Optimizing Continuous Queries. |
ICDE |
2002 |
DBLP DOI BibTeX RDF |
Incrmental Group Optimization, Selection Placement Strategies, PushDown, PullUp, Query Optimization, Cost Models, Continuous Queries |
22 | Yukiko Kubo, Shigetoshi Nakatake, Yoji Kajitani, Masahiro Kawakita |
Explicit Expression and Simultaneous Optimization of Placement and Routing for Analog IC Layouts. |
ASP-DAC/VLSI Design |
2002 |
DBLP DOI BibTeX RDF |
mixed signal design, shape-based layout, placement, analog design, sequence-pair |
22 | Constantinos Vassilakis, Michael Paterakis, Peter Triantafillou |
Video Placement and Configuration of Distributed Video Servers on Cable TV Networks. |
Multim. Syst. |
2000 |
DBLP DOI BibTeX RDF |
Distributed VOD systems, Program placement, HFC distribution networks |
22 | Jens Knoop, Eduard Mehofer |
Interprocedural Distribution Assignment Placement: More than Just Enhancing Intraprocedural Placing Techniques. |
IEEE PACT |
1997 |
DBLP DOI BibTeX RDF |
interprocedural distribution assignment placement, intraprocedural placing techniques, unnecessary run-time remappings, partially redundant distribution assignment elimination, partially dead distribution assignment elimination, algorithm hierarchy, user-customized solutions, dynamic data redistribution, optimization, parallel programming, High Performance Fortran, anomalies, distributed-memory architectures, data-parallel programs, interprocedural data-flow analysis, run-time efficiency |
22 | Yen-Kuang Chen, Sun-Yuan Kung |
An Operation Placement and Scheduling Scheme for Cache and Communication Localities in Fine-Grain Parallel Architectures. |
ISPAN |
1997 |
DBLP DOI BibTeX RDF |
operation placement and scheduling, performance optimization of instruction-level parallelism, VLSI array processor design methodology, multi-dimensional projection, multiprojection, Parallel compiler |
22 | Jon Christensen, Joe Marks, Stuart M. Shieber |
An Empirical Study of Algorithms for Point-Feature Label Placement. |
ACM Trans. Graph. |
1995 |
DBLP DOI BibTeX RDF |
automated cartography, simulated annealing, heuristic search, stochastic methods, label placement |
22 | Ali Heydari, Masoud Reza Aghabozorgi |
Sensor placement for RSSD-based localization: Optimal angular placement and sequential sensor placement. |
Phys. Commun. |
2020 |
DBLP DOI BibTeX RDF |
|
21 | Sebastian Risi, Joel Lehman, Kenneth O. Stanley |
Evolving the placement and density of neurons in the hyperneat substrate. |
GECCO |
2010 |
DBLP DOI BibTeX RDF |
substrate evolution, neuroevolution, neat, hyperneat |
21 | Reuven Cohen, Gabi Nakibly |
A traffic engineering approach for placement and selection of network services. |
IEEE/ACM Trans. Netw. |
2009 |
DBLP DOI BibTeX RDF |
routing, load balancing, traffic engineering, network services |
21 | Andreas Krause 0001, Ram Rajagopal, Anupam Gupta 0001, Carlos Guestrin |
Simultaneous placement and scheduling of sensors. |
IPSN |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Gregory A. Cole, Julie Pilitsis, Gregory S. Fischer |
Design of a robotic system for MRI-guided deep brain stimulation electrode placement. |
ICRA |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Duc Fehr, Loren Fiore, Nikolaos Papanikolopoulos |
Issues and solutions in surveillance camera placement. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Raouf Boutaba, Martin Karsten, Maxwell Young |
A Heuristic for Fair Correlation-Aware Resource Placement. |
SEA |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Manu Awasthi, Kshitij Sudan, Rajeev Balasubramonian, John B. Carter |
Dynamic hardware-assisted software-controlled page placement to manage capacity allocation and sharing within large caches. |
HPCA |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Faisal A. Al-Nasser, Mansour A. Al-Dajani, Uthman Baroudi, Shokri Z. Selim |
Placement of access points in wireless local area networks. |
IWCMC |
2009 |
DBLP DOI BibTeX RDF |
2-D convolution, percentage coverage, coverage, base station |
21 | Linfu Xiao, Evangeline F. Y. Young |
Analog placement with common centroid and 1-D symmetry constraints. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Tao Chen 0013, Fang Liu 0002, Nong Xiao |
RADPA Reliability-Aware Data Placement Algorithm for Large-Scale Network Storage Systems. |
HPCC |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Madhukar R. Korupolu, Aameek Singh, Bhuvan Bamba |
Coupled placement in modern data centers. |
IPDPS |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Owen L. Astrachan, Henry MacKay Walker, Chris Stephenson, Lien Diaz, Janice E. Cuny |
Advanced placement computer science: the future of tracking the first year of instruction. |
SIGCSE |
2009 |
DBLP DOI BibTeX RDF |
computer science education |
21 | Chun-Hsien Lu, Hsiao-Win Liao, Pao-Ann Hsiung |
Multi-objective Placement of Reconfigurable Hardware Tasks in Real-Time System. |
CSE (2) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Julien Freudiger, Reza Shokri, Jean-Pierre Hubaux |
On the Optimal Placement of Mix Zones. |
Privacy Enhancing Technologies |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Jwu-E Chen, Pei-Wen Luo, Chin-Long Wey |
Yield evaluation of analog placement with arbitrary capacitor ratio. |
ISQED |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Rui He, Lihong Zhang |
Analog placement design with constraints of multiple symmetry groups. |
CCECE |
2009 |
DBLP DOI BibTeX RDF |
|
21 | You-Chiun Wang, Chun-Chi Hu, Yu-Chee Tseng |
Efficient Placement and Dispatch of Sensors in a Wireless Sensor Network. |
IEEE Trans. Mob. Comput. |
2008 |
DBLP DOI BibTeX RDF |
wireless sensor networks, connectivity, network management, coverage, topology control, deployment, mobile sensors |
21 | Jason Cong, Guojie Luo, Eric Radke |
Highly Efficient Gradient Computation for Density-Constrained Analytical Placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Thomas Marconi, Yi Lu 0004, Koen Bertels, Georgi Gaydadjiev |
Intelligent Merging Online Task Placement Algorithm for Partial Reconfigurable Systems. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
21 | David Carrera 0001, Malgorzata Steinder, Ian Whalley, Jordi Torres, Eduard Ayguadé |
Utility-based placement of dynamic Web applications with fairness goals. |
NOMS |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Tao Luo 0002, David Newmark, David Z. Pan |
Total power optimization combining placement, sizing and multi-Vt through slack distribution management. |
ASP-DAC |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Ivan Himawan, Sridha Sridharan, Iain McCowan |
Dealing with uncertainty in microphone placement in a microphone array speech recognition system. |
ICASSP |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Emily M. Craparo, Jonathan P. How, Eytan H. Modiano |
Simultaneous placement and assignment for exploration in mobile backbone networks. |
CDC |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Feng Zeng, Zhigang Chen 0001 |
Load Balancing Placement of Gateways in Wireless Mesh Networks with QoS Constraints. |
ICYCS |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Ming Cao, Laurence Tianruo Yang, Xinmeng Chen, Naixue Xiong |
Node Placement of Linear Wireless Multimedia Sensor Networks for Maximum Network Lifetime. |
GPC |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Yun Huang, Nalini Venkatasubramanian |
Mobile Data Overlay (MDO): A Data Placement Paradigm for Mobile Applications. |
MDM |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Deepthi K. Madathil, Rajani B. Thota, Paulina Paul, Tao Xie |
A static data placement strategy towards perfect load-balancing for distributed storage clusters. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Martin Strasser, Michael Eick, Helmut Gräb, Ulf Schlichtmann, Frank M. Johannes |
Deterministic analog circuit placement using hierarchically bounded enumeration and enhanced shape functions. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Tao Luo 0002, David A. Papa, Zhuo Li 0001, Chin Ngai Sze, Charles J. Alpert, David Z. Pan |
Pyramids: an efficient computational geometry-based approach for timing-driven placement. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Amit Agarwal, Jason Cong, Brian Tagiku |
Fault tolerant placement and defect reconfiguration for nano-FPGAs. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Pei Zhang 0005, David E. Millard, Gary B. Wills, Yvonne Margaret Howard, Sue J. Faulds, Lester Gilbert, Dan Sparks |
A Mobile Toolkit for Placement Learning. |
ICALT |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Sudsanguan Ngamsuriyaroj, Ekasit Kijsipongse |
Optimal Placement of Pipeline Applications on Grid. |
ICPADS |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Maolin Tang, Yanming Feng |
Area-Oriented Reference Station Placement for Network RTK. |
CSSE (4) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | J. S. Huang, Michael Negnevitsky |
A Messy Genetic Algorithm Based Optimization Scheme for SVC Placement of Power Systems under Critical Operation Contingence. |
CSSE (1) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Arash Mehdizadeh, Morteza Saheb Zamani |
Proposing an efficient method to estimate and reduce crosstalk after placement in VLSI circuits. |
AICCSA |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Rui Yang 0005, Joseph Antony, Pete P. Janes, Alistair P. Rendell |
Memory and Thread Placement Effects as a Function of Cache Usage: A Study of the Gaussian Chemistry Code on the SunFire X4600 M2. |
ISPAN |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Waleed Alsalih, Hossam S. Hassanein, Selim G. Akl |
Delay constrained placement of mobile data collectors in underwater acoustic sensor networks. |
LCN |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Jin He, Masoud Salehi |
A new finger placement algorithm for the Generalized RAKE receiver. |
CISS |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Jarrod A. Roy, Igor L. Markov |
Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Vishal Khandelwal, Ankur Srivastava 0001 |
Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Manghui Tu, Liangliang Xiao, Hui Ma 0006, I-Ling Yen, Farokh B. Bastani |
Placement in Dependable and Secure Peer-to-Peer Data Grids. |
HASE |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Ricardo S. Ferreira 0001, Alisson Garcia, Tiago Teixeira, João M. P. Cardoso |
A Polynomial Placement Algorithm for Data Driven Coarse-Grained Reconfigurable Architectures. |
ISVLSI |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman 0001, Parthasarathi Dasgupta |
Minimum-Congestion Placement for Y-interconnects: Some studies and observations. |
ISVLSI |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Sanjit Krishnan Kaul, Kishore Ramachandran, Pravin Shankar, Sangho Oh, Marco Gruteser, Ivan Seskar, Tamer Nadeem |
Effect of Antenna Placement and Diversity on Vehicular Network Communications. |
SECON |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Julien Lamoureux, Steven J. E. Wilton |
Clock-Aware Placement for FPGAs. |
FPL |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Xuegong Zhou, Ying Wang 0032, XunZhang Huang, Chenglian Peng |
Fast On-line Task Placement and Scheduling on Reconfigurable Devices. |
FPL |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Chien-Min Wang, Chun-Chen Hsu, Pangfeng Liu, Hsi-Min Chen, Jan-Jan Wu |
Optimizing Server Placement for QoS Requirements in Hierarchical Grid Environments. |
GPC |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Pangfeng Liu, Yi-Min Chung, Jan-Jan Wu, Chien-Min Wang |
Server Placement in the Presence of Competition. |
GPC |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram |
Sizing and placement of charge recycling transistors in MTCMOS circuits. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Sabyasachi Roy, Himabindu Pucha, Zheng Zhang 0009, Y. Charlie Hu, Lili Qiu |
Overlay Node Placement: Analysis, Algorithms and Impact on Applications. |
ICDCS |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Wing On Fung, Tughrul Arslan, Sami Khawam |
A Hybrid Engine for the Placement of Domain-Specific Reconfigurable Arrays. |
AHS |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Matthew A. Jolley, Jeroen G. Stinstra, David M. Weinstein, Steve Pieper 0001, Raúl San José Estépar, Gordon L. Kindlmann, Robert S. MacLeod, Dana H. Brooks, John K. Triedman |
Open-Source Environment for Interactive Finite Element Modeling of Optimal ICD Electrode Placement. |
FIMH |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Ann L. Chervenak, Ewa Deelman, Miron Livny, Mei-Hui Su, Robert Schuler, Shishir Bharathi, Gaurang Mehta, Karan Vahi |
Data placement for scientific applications in distributed environments. |
GRID |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Huaizhi Wu, Martin D. F. Wong |
Improving Voltage Assignment by Outlier Detection and Incremental Placement. |
DAC |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Navid Imani, Hamid Sarbazi-Azad, Albert Y. Zomaya |
Distant-Based Resource Placement in Product Networks. |
PDCAT |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Belgin Ergenc, Franck Morvan, Abdelkader Hameurlain |
Robust Placement of Mobile Relational Operators for Large Scale Distributed Query Optimization. |
PDCAT |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Andrew B. Kahng, Sherief Reda |
Zero-Change Netlist Transformations: A New Technique for Placement Benchmarking. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Milos Hrkic, John Lillis, Giancarlo Beraudo |
An Approach to Placement-Coupled Logic Replication. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Robert D. Carr, Harvey J. Greenberg, William E. Hart, Goran Konjevod, Erik Lauer, Henry Lin, Tod Morrison, Cynthia A. Phillips |
Robust optimization of contaminant sensor placement for community water systems. |
Math. Program. |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Tatsuya Ozaki, Tadashi Dohi, Hiroyuki Okamura, Naoto Kaio |
Distribution-Free Checkpoint Placement Algorithms Based on Min-Max Principle. |
IEEE Trans. Dependable Secur. Comput. |
2006 |
DBLP DOI BibTeX RDF |
incomplete failure information, performance evaluation, fault-tolerance, maintenance, high availability, modeling and prediction, Checkpoint/restart |
21 | Wen-Syan Li, Daniel C. Zilio, Vishal S. Batra, Mahadevan Subramanian, Calisto Zuzarte, Inderpal Narang |
Load Balancing for Multi-tiered Database Systems through Autonomic Placement of Materialized Views. |
ICDE |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Ning Fu, Mitsutoshi Mineshima, Shigetoshi Nakatake |
Multi-SP: A Representation with United Rectangles for Analog Placement and Routing. |
ISVLSI |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Tudor Murgan, Oliver Mitea, Sujan Pandey, Petru Bogdan Bacinschi, Manfred Glesner |
Simultaneous Placement and Buffer Planning for Reduction of Power Consumption in Interconnects and Repeaters. |
VLSI-SoC |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Kostas Siozios, Dimitrios Soudris, Antonios Thanailakis |
Efficient Power Management Strategy of FPGAs Using a Novel Placement Technique. |
VLSI-SoC |
2006 |
DBLP DOI BibTeX RDF |
|
Displaying result #601 - #700 of 16451 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|