The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase q-methodology (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1969 (17) 1970-1972 (16) 1973-1974 (48) 1975-1976 (37) 1977 (29) 1978 (85) 1979 (48) 1980 (34) 1981 (45) 1982 (77) 1983 (84) 1984 (110) 1985 (82) 1986 (122) 1987 (96) 1988 (199) 1989 (167) 1990 (257) 1991 (189) 1992 (413) 1993 (357) 1994 (297) 1995 (430) 1996 (485) 1997 (592) 1998 (776) 1999 (900) 2000 (1035) 2001 (1051) 2002 (1428) 2003 (1637) 2004 (2132) 2005 (2396) 2006 (2751) 2007 (3054) 2008 (2976) 2009 (2009) 2010 (968) 2011 (760) 2012 (909) 2013 (848) 2014 (950) 2015 (870) 2016 (1010) 2017 (927) 2018 (1065) 2019 (1035) 2020 (1153) 2021 (1030) 2022 (1068) 2023 (1019) 2024 (237)
Publication types (Num. hits)
article(12330) book(59) data(4) incollection(390) inproceedings(26892) phdthesis(590) proceedings(45)
Venues (Conferences, Journals, ...)
CoRR(708) AIMSA(607) AMAST(471) DAC(456) DATE(456) IEEE Trans. Comput. Aided Des....(425) WSC(326) HICSS(295) IEEE Access(294) ICCAD(235) IEEE Trans. Very Large Scale I...(234) Sensors(225) ISQED(224) VLSI Design(212) ISCAS(197) ASP-DAC(193) More (+10 of total 5508)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 24254 occurrences of 8555 keywords

Results
Found 40310 publication records. Showing 40310 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Tina R. Ziemek, Sarah H. Creem-Regehr, William B. Thompson Using mental rotation as a methodology to evaluate shape perception in computer graphics. Search on Bibsonomy APGV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Vahid Rahimian, Raman Ramsin Designing an agile methodology for mobile software development: A hybrid method engineering approach. Search on Bibsonomy RCIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Angela Lozano A methodology to assess the impact of source code flaws in changeability, and its application to clones. Search on Bibsonomy ICSM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Charles Pak The near real time statistical asset priority driven (nrtsapd) risk assessment methodology. Search on Bibsonomy SIGITE Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF risk assessment, asset management
13Lucio Flavio Vismari, João Batista Camargo Jr. An Absolute-Relative Risk Assessment Methodology Approach to Current Safety Critical Systems and its Application to the ADS-B based Air Traffic Control System. Search on Bibsonomy SRDS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Carlo Batini, Davide Bolchini, Stefano Ceri, Maristella Matera, Andrea Maurino, Paolo Paolini The UM-MAIS Methodology for Multi-channel Adaptive Web Information Systems. Search on Bibsonomy World Wide Web The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive web information systems, services oriented architecture, web applications, design methodologies, requirements analysis, context modelling
13Julien Blanchard 0001, Fabrice Guillet, Henri Briand Interactive visual exploration of association rules with rule-focusing methodology. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Rule focusing, Neighborhood of rules, Association rules, Knowledge discovery in databases, Interactive visualization, Post-processing, Interestingness measures, Constraint-based mining
13Lucas C. Cordeiro, Raimundo S. Barreto, Rafael Barcelos, Meuse N. Oliveira Jr., Vicente Lucena, Paulo Romero Martins Maciel TXM: an agile HW/SW development methodology for building medical devices. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded agile development, health care, agile methodologies, platform-based design, real-time software, organizational patterns
13Shalabh Goyal, Abhijit Chatterjee, Michael Purtell A Low-Cost Test Methodology for Dynamic Specification Testing of High-Speed Data Converters. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data converter testing, low-cost test and dynamic specification testing
13Simon M. Poulding, Paul Emberson, Iain Bate, John A. Clark An Efficient Experimental Methodology for Configuring Search-Based Design Algorithms. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Behnam Ghavami, Mahtab Niknahad, Mehrdad Najibi, Hossein Pedram A Fast and Accurate Power Estimation Methodology for QDI Asynchronous Circuits. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Nikolas Kroupis, Dimitrios Soudris Design Methodology and Software Tool for Estimation of Multi-level Instruction Cache Memory Miss Rate. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Ming Lu, Yang Zhang, Jian-Ping Zhang Construction planning methodology integrating operations simulation and four dimensional computer aided design (4D-CAD). Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF 4D-CAD, construction engineering, visualization, project management, discrete event simulation
13Birgit Hofreiter, Christian Huemer, Philipp Liegl, Rainer Schuster, Marco Zapletal UMM Add-In: A UML Extension for UN/CEFACT's Modeling Methodology. Search on Bibsonomy ICSOC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Kostas Siozios, Dimitrios Soudris A Novel Methodology for Temperature-Aware Placement and Routing of FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Kostas Siozios, Kostas Sotiriadis, Vasilis F. Pavlidis, Dimitrios Soudris A software-supported methodology for designing high-performance 3D FPGA architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Yan Lin Aung, Douglas L. Maskell, Timothy F. Oliver, Bertil Schmidt, William Bong C-Based Design Methodology for FPGA Implementation of ClustalW MSA. Search on Bibsonomy PRIB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ClustalW, FPGA, multiple sequence alignment, sequence analysis
13Nils Agne Nordbotten, Tor Skeie A Routing Methodology for Dynamic Fault Tolerance in Meshes and Tori. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Paulina Januszkiewicz, Marek Pyka Designing a Security Policy According to BS 7799 Using the OCTAVE Methodology. Search on Bibsonomy ARES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Swapna S. Gokhale, Paul J. Vandal, Aniruddha S. Gokhale, Dimple Kaul, Arundhati Kogekar, Jeff Gray 0001, Yuehua Lin Model-Driven Performance Analysis Methodology for Distributed Software Systems. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Ming Yang 0019, Nikolaos G. Bourbakis, Zizhong Chen, Monica A. Trifas An Efficient Audio-Video Synchronization Methodology. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Nikolaos G. Bourbakis, Raj Patil A Methodology for Automatically Detecting Texture Paths and Patterns in Images. Search on Bibsonomy ICTAI (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Michel Pignol Methodology and Tools Developed for Validation of COTS-based Fault-Tolerant Spacecraft Supercomputers. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Juan Pablo Martinez Brito, Hamilton Klimach, Sergio Bampi A Design Methodology for Matching Improvement in Bandgap References. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Xavier Boucher, Ali Zaidat, Lucien Vincent Engineering Methodology For Organisation Networks. Search on Bibsonomy Virtual Enterprises and Collaborative Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Crispín Gómez Requena, María Engracia Gómez, Pedro López 0001, José Duato An Efficient Fault-Tolerant Routing Methodology for Fat-Tree Interconnection Networks. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Charles W. Krueger The 3-Tiered Methodology: Pragmatic Insights from New Generation Software Product Lines. Search on Bibsonomy SPLC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Anton Bogdanovych, Marc Esteva, Simeon J. Simoff, Carles Sierra, Helmut Berger A Methodology for Developing Multiagent Systems as 3D Electronic Institutions. Search on Bibsonomy AOSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Jianfeng Zhan, Lei Wang 0004, Bibo Tu, Zhihong Zhang, Yu Wen, Yuansheng Chen, Wei Zhou, Dan Meng, Ninghui Sun A layered design methodology of cluster system stack. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Jeong-Won Jeong, Dae C. Shin, Synho Do, Vasilis Z. Marmarelis Segmentation methodology for automated classification and differentiation of soft tissues in multiband images of high-resolution ultrasonic transmission tomography. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Kiran K. Vadde, Violet R. Syrotiuk, Douglas C. Montgomery Optimizing Protocol Interaction Using Response Surface Methodology. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF algorithm/protocol analysis, wireless networks, experimental design, Statistical methods
13X. Teng, H. Pham A New Methodology for Predicting Software Reliability in the Random Field Environments. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Konstantinos Kotis, George A. Vouros Human-centered ontology engineering: The HCOME methodology. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Human-centered computign, Semantic web, Knowledge management, Ontology engineering
13Wai Hong Ho, Timothy Mark Pinkston A Design Methodology for Efficient Application-Specific On-Chip Interconnects. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-contention communication, network partitioning, communication model, On-chip interconnects, irregular topology
13James Lapalme, El Mostapha Aboulhamid, Gabriela Nicolescu A new efficient EDA tool design methodology. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Net Framework, C?, ESys.Net, attribute programming, embedded systems, SoC, VHDL, SystemC, modeling and simulation, CoDesign
13David Atienza, Jose Manuel Mendias, Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor Systematic dynamic memory management design methodology for reduced memory footprint. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multimedia embedded systems, custom dynamic memory management, reduced memory footprint, operating systems, memory management
13Marc Fisher II, Gregg Rothermel, Darren Brown, Mingming Cao, Curtis R. Cook, Margaret M. Burnett Integrating automated test generation into the WYSIWYT spreadsheet testing methodology. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF testing, end-user programming, test case generation, End-user software engineering
13Yongmin Zhong, Bijan Shirinzadeh, Gürsel Alici, Julian Smith A Cellular Neural Network Methodology for Deformable Object Simulation. Search on Bibsonomy IEEE Trans. Inf. Technol. Biomed. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13David Cheng, Ravi Kannan, Santosh S. Vempala, Grant Wang A divide-and-merge methodology for clustering. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF data mining, Clustering, information retrieval
13Farookh Khadeer Hussain, Elizabeth Chang 0001, Tharam S. Dillon Trustworthiness Measurement Methodology for e-Business. Search on Bibsonomy Advances in Web Intelligence and Data Mining The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Nicola Bombieri, Franco Fummi, Graziano Pravadelli A methodology for abstracting RTL designs into TL descriptions. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Giovanni Beltrame, Donatella Sciuto, Cristina Silvano, Pierre G. Paulin, Essaid Bensoudane An Application Mapping Methodology and Case Study for Multi-Processor On-Chip Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Kostas Siozios, Konstantinos Tatas, Dimitrios Soudris, Antonios Thanailakis A novel methodology for designing high-performance and low-energy FPGA routing architecture. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Dac C. Pham, Hans-Werner Anderson, Erwin Behnen, Mark Bolliger, Sanjay Gupta, H. Peter Hofstee, Paul E. Harvey, Charles R. Johns, James A. Kahle, Atsushi Kameyama, John M. Keaty, Bob Le, Sang Lee, Tuyen V. Nguyen, John G. Petrovick, Mydung Pham, Juergen Pille, Stephen D. Posluszny, Mack W. Riley, Joseph Verock, James D. Warnock, Steve Weitzel, Dieter F. Wendel Key features of the design methodology enabling a multi-core SoC implementation of a first-generation CELL processor. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 64-bit Power Architecture, design dependency solution, digital thermal sensor, flexible IO, hardware content protection, high-performance latch, linear sensor, local clock buffer, multi-operating system, synergistic processor, real-time system, modularity, power management, Linux, multi-core, multi-threading, SOC, thermal management, design environment, CELL Processor, clock distribution, virtualization technology, SOI, correct-by-construction, re-use, design hierarchy
13Cinzia Cappiello, Paolo Ficiaro, Barbara Pernici HIQM: A Methodology for Information Quality Monitoring, Measurement, and Improvement. Search on Bibsonomy ER (Workshops) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13K. Rustan M. Leino, Peter Müller 0001 A Verification Methodology for Model Fields. Search on Bibsonomy ESOP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Ohbyung Kwon, Jihoon Kim A Methodology for Assessing the Level of U-Transformation of Ubiquitous Services. Search on Bibsonomy UCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Paula Ventura Martins, Alberto Rodrigues da Silva SPI Methodology for Virtual Organizations. Search on Bibsonomy OTM Workshops (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Cristiana Bolchini, Elisa Quintarelli Context-Driven Data Filtering: A Methodology. Search on Bibsonomy OTM Workshops (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Yan Gao, Dah-Ming Chiu, John C. S. Lui Determining the end-to-end throughput capacity in multi-hop networks: methodology and applications. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analytical model for 802.11 protocols, multi-hop ad hoc wireless networks, throughput capacity
13Sérgio Clementi, Tereza Cristina M. B. Carvalho Methodology for IT Governance Assessment and Design. Search on Bibsonomy I3E The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Yan Sun 0008, Xiaoqing Frank Liu, Bruce M. McMillin A Methodology for Structured Object-Oriented Elicitation and Analysis of Temporal Constraints in Hardware/Software Co-analysis and Co-design of Real-Time Systems. Search on Bibsonomy COMPSAC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Sumanth Yenduri An Agglomerative Clustering Methodology For Data Imputation. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Software Project Data Sets, Clustering Algorithms, Effort Prediction, Data Imputation
13Maurizio Palesi, Rickard Holsmark, Shashi Kumar, Vincenzo Catania A methodology for design of application specific deadlock-free routing algorithms for NoC systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF networks, networks on chip, adaptive routing, application specific, deadlock-free routing
13Catherine H. Gebotys, Brian A. White Methodology for attack on a Java-based PDA. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded system
13Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Steven J. E. Wilton, Sergio López-Buedo Virtual Embedded Blocks: A Methodology for Evaluating Embedded Elements in FPGAs. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Bin Xu Neural Networks Based Structural Model Updating Methodology Using Spatially Incomplete Accelerations. Search on Bibsonomy ICNC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Mary A. Keeler, Heather D. Pfeiffer Building a Pragmatic Methodology for KR Tool Research and Development. Search on Bibsonomy ICCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Sheng-Chih Lin, Kaustav Banerjee An electrothermally-aware full-chip substrate temperature gradient evaluation methodology for leakage dominant technologies with implications for power estimation and hot-spot management. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Hongen Lu, Manish Chhabra A Methodology for Agent Oriented Web Service Engineering. Search on Bibsonomy PRIMA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Li-ming Wang, Ya-chong Li A Systematic Methodology for Adaptive Systems in Open Environments. Search on Bibsonomy PRIMA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Organization Rule, Role Space, Adaptive Systems, Open Environments
13Jeffrey C. Carver, Marc Fisher II, Gregg Rothermel An empirical evaluation of a testing and debugging methodology for Excel. Search on Bibsonomy ISESE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF empirical study, end-user software engineering, human subjects
13Alessandro Girardi, Fernando da Rocha Paixão Cortes, Sergio Bampi A tool for automatic design of analog circuits based on gm/ID methodology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Shin'ichi Kobayashi, Fumihiro Kumeno, Yasuyuki Shirai, Shin-ya Sano, Satoshi Nishiyama, Naoki Asai, Akio Sato, Hiroshi Inujima A Methodology for Planning R&D Topics in IT Field. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Miles A. McQueen, Wayne F. Boyer, Mark A. Flynn, George A. Beitel Quantitative Cyber Risk Reduction Estimation Methodology for a Small SCADA Control System. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Vahid Majidzadeh, Omid Shoaei A power optimized design methodology for low-distortion sigma-delta-pipeline ADCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reduced-sample-rate architectures, sigma-delta-pipeline ADCs, power optimization
13Arnaud Epinat, N. Vijayaraghavan, Matthieu Sautier, Olivier Callen, Sebastien Fabre, Ryan Ross, Paul Simon, Robin Wilson Yield Enhancement Methodology for CMOS Standard Cells. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Saeed Aliakbarian, Ahmad Abdollahzadeh, Leila Jalali A new approach in object-oriented methodology for creating event-based simulator. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Martin Ordonez, M. Tariq Iqbal, John E. Quaicoe, Leonard M. Lye Modeling and Optimization of Direct Methanol Fuel Cells using Statistical Design of Experiment Methodology. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Tong-Yu Hsieh, Kuen-Jong Lee, Melvin A. Breuer An Error-Oriented Test Methodology to Improve Yield with Error-Tolerance. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jianfu Zhang 0002, Zhijun Wu 0002, Pingfa Feng, Dingwen Yu A Methodology and Conceptual Framework for Flow-Manufacturing-Oriented ERP Systems. Search on Bibsonomy EDOC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Shing-Chow Chan, Kai Man Tsui, S. H. Zhao A Methodology for Automatic Hardware Synthesis of Multiplier-less Digital Filters with Prescribed Output Accuracy. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Qiang Zhou 0001, Yi Zou, Yici Cai, Xianlong Hong Variational Circuit Simulator based on a Unified Methodology using Arithmetic over Taylor Polynomials. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Rohan Mandrekar, Krishna Bharath, Krishna Srinivasan, Ege Engin, Madhavan Swaminathan System level signal and power integrity analysis methodology for system-in-package applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modal decomposition, nodal admittance matrix method, system-in-package (SiP), causality, signal integrity, finite difference method, power integrity
13Chao-Shen Chen, Rong-Jian Chen Image Encryption and Decryption Using SCAN Methodology. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Everine B. van de Kraats, Graeme P. Penney, Dejan Tomazevic, Theo van Walsum, Wiro J. Niessen Standardized evaluation methodology for 2-D-3-D registration. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Carol A. Wellington, Thomas H. Briggs, C. Dudley Girard Examining team cohesion as an effect of software engineering methodology. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2005 DBLP  DOI  BibTeX  RDF team cohesion, team software process, extreme programming
13Yvan Maidon, Thomas Zimmer, André Ivanov An Analog Circuit Fault Characterization Methodology. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog circuit testing, analog fault diagnosis, analog fault characterization
13Mohammad M. Mansour, Naresh R. Shanbhag A Novel Design Methodology for High-Performance Programmable Decoder Cores for AA-LDPC Codes. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF turbo-decoding message-passing algorithm, VLSI decoder architectures, LDPC codes, Ramanujan graphs
13Andreas L. Symeonidis, Pericles A. Mitkas A Methodology for Predicting Agent Behavior by the Use of Data Mining Techniques. Search on Bibsonomy AIS-ADM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Adriana Giret, Vicente J. Botti, Soledad Valero MAS Methodology for HMS. Search on Bibsonomy HoloMAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Charlotte Soens, Geert Van der Plas, Piet Wambacq, Stéphane Donnay Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Rieko Yamamoto, Kouji Yamamoto 0002, Kyoko Ohashi, Junji Inomata Development of a Business Process Modeling Methodology and a Tool for Sharing Business Processes. Search on Bibsonomy APSEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Vijay Degalahal, Tim Tuan Methodology for high level estimation of FPGA power consumption. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Eduardo Huedo, Rubén S. Montero, Ignacio Martín Llorente An Evaluation Methodology for Computational Grids. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Ouassila Labbani, Jean-Luc Dekeyser, Pierre Boulet Mode-Automata Based Methodology for Scade. Search on Bibsonomy HSCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Wichian Sirisaengtaksin, Sandeep K. Gupta 0001 A Methodology to Compute Bounds on Crosstalk Effects in Arbitrary Interconnects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Qi He 0001, Constantinos Dovrolis, Mostafa H. Ammar A Methodology for the Optimal Configuration of TCP Traffic in Network Simulations under Link Load Constraints. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Hendrik Blockeel Experiment Databases: A Novel Methodology for Experimental Research. Search on Bibsonomy KDID The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Urs Anliker, Holger Junker, Paul Lukowicz, Gerhard Tröster Design Methodology for Context-Aware Wearable Sensor Systems. Search on Bibsonomy Pervasive The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Caiazza Alessandro, Roberto Di Maio, Fernando Scalabrini, Fabio Poli, Leonardo Impagliazzo, Arturo M. Amendola A New Methodology and Tool Set to Execute Software Test on Real-Time Safety-Critical Systems. Search on Bibsonomy EDCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13John Zeleznikow, Giles Oatley, Richard Leary A Methodology for Constructing Decision Support Systems for Crime Detection. Search on Bibsonomy KES (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Choon Seong Leem, Sangkyun Kim, Hong Joo Lee Assessment Methodology on Maturity Level of ISMS. Search on Bibsonomy KES (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Rémi Landais, Laurent Vinet, Jean-Michel Jolion Evaluation of Commercial OCR: A New Goal Directed Methodology for Video Documents. Search on Bibsonomy ICAPR (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Zhiqi Shen 0001, Dongtao Li, Chunyan Miao, Robert K. L. Gay, Yuan Miao 0001 Goal-oriented Methodology for Agent System Development. Search on Bibsonomy IAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Jose Guajardo, Jaime Miranda, Richard Weber 0002 A Hybrid Forecasting Methodology using Feature Selection and Support Vector Regression. Search on Bibsonomy HIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Sheng-Chih Lin, Navin Srivastava, Kaustav Banerjee A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Aswin C. Sankaranarayanan, Rama Chellappa, Ankur Srivastava 0001 Algorithmic and Architectural Design Methodology for Particle Filters in Hardware. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Nobuyuki Nishiguchi An advance RTL to GDS2 design methodology for 90 nm and below system LSIs to solve timing closure, signal integrity and design for manufacturing. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13George Xenoulis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Test Generation Methodology for High-Speed Floating Point Adders. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 40310 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license