The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for subthreshold with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (20) 1995-1998 (15) 1999-2000 (19) 2001 (25) 2002 (23) 2003 (26) 2004 (41) 2005 (53) 2006 (76) 2007 (76) 2008 (62) 2009 (59) 2010 (46) 2011 (40) 2012 (47) 2013 (38) 2014 (36) 2015 (42) 2016 (42) 2017 (42) 2018 (42) 2019 (43) 2020 (36) 2021 (27) 2022 (27) 2023 (27) 2024 (4)
Publication types (Num. hits)
article(472) incollection(7) inproceedings(551) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 310 occurrences of 197 keywords

Results
Found 1034 publication records. Showing 1034 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15N. Lakhdar, Fayçal Djeffal New optimized Dual-Material (DM) gate design to improve the submicron GaN-MESFETs reliability in subthreshold regime. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15S.-L. Siu, Wing-Shan Tam, Hei Wong, Chi-Wah Kok, K. Kakusima, Hiroshi Iwai Influence of multi-finger layout on the subthreshold behavior of nanometer MOS transistors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Mukund Kalyanaraman, Michael Orshansky Highly Secure Strong PUF based on Nonlinearity of MOSFET Subthreshold Operation. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2012 DBLP  BibTeX  RDF
15Benjamin Torben-Nielsen, Idan Segev, Yosef Yarom The Generation of Phase Differences and Frequency Changes in a Network Model of Inferior Olive Subthreshold Oscillations. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Chien-Yu Lu, Ming-Hsien Tu, Hao-I Yang, Ya-Ping Wu, Huan-Shun Huang, Yuh-Jiun Lin, Kuen-Di Lee, Yung-Shin Kao, Ching-Te Chuang, Shyh-Jye Jou, Wei Hwang A 0.33-V, 500-kHz, 3.94-µW 40-nm 72-Kb 9T Subthreshold SRAM With Ripple Bit-Line Structure and Negative Bit-Line Write-Assist. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Fabio Frustaci, Pasquale Corsonello, Stefania Perri Analytical Delay Model Considering Variability Effects in Subthreshold Domain. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Woojin Rim, Woong Choi, Jongsun Park 0001 Adaptive Clock Generation Technique for Variation-Aware Subthreshold Logics. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Ming-Hung Chang, Yi-Te Chiu, Wei Hwang Design and Iso-Area Vmin Analysis of 9T Subthreshold SRAM With Bit-Interleaving Scheme in 65-nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Chenchang Zhan, Wing-Hung Ki An Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator With Subthreshold Undershoot-Reduction for SoC. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Ming-Long Fan, Vita Pi-Ho Hu, Yin-Nien Chen, Pin Su, Ching-Te Chuang Variability Analysis of Sense Amplifier for FinFET Subthreshold SRAM Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Peter Grossmann, Miriam Leeser, Marvin Onabajo Minimum Energy Analysis and Experimental Verification of a Latch-Based Subthreshold FPGA. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Yingchieh Ho, Chiachi Chang, Chauchin Su Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Yusuf Leblebici Wide-Range Dynamic Power Management in Low-Voltage Low-Power Subthreshold SCL. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Morteza Nabavi, Maitham Shams A gate sizing and transistor fingering strategy for subthreshold CMOS circuits. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Michael J. Roy, Michelle E. Costanzo, Suzanne Leaman Psychophysiologic Identification of Subthreshold PTSD in Combat Veterans. Search on Bibsonomy Annual Review of Cybertherapy and Telemedicine The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Shilpa Pendyala, Srinivas Katkoori Interval arithmetic based input vector control for RTL subthreshold leakage minimization. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Viviane S. Ghaderi, Shane M. Roach, Dong Song, Vasilis Z. Marmarelis, John Choma Jr., Theodore W. Berger Analog low-power hardware implementation of a Laguerre-Volterra model of intracellular subthreshold neuronal activity. Search on Bibsonomy EMBC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Weiwei Shi 0001, Oliver Chiu-sing Choy A process-compatible passive RFID tag's digital design for subthreshold operation. Search on Bibsonomy ICECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Kiyohiko Sakakibara, Toshio Kumamoto, K. Arimoto Impact of subthreshold hump on bulk-bias dependence of offset voltage variability in weak and moderate inversion regions. Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Sven Lütkemeier, Thorsten Jungeblut, Mario Porrmann, Ulrich Rückert 0001 A 200mV 32b subthreshold processor with adaptive supply voltage control. Search on Bibsonomy ISSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Kyle Craig, Yousef Shakhsheer, Benton H. Calhoun Optimal power switch design for dynamic voltage scaling from high performance to subthreshold operation. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15A. R. Aravinth Kumar, Ashudeb Dutta, Shiv Govind Singh A 1.5-7.5GHz low power low noise amplifier (LNA) design using subthreshold technique for Wireless Sensor Network (WSN) application. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Shien-Chun Luo, Chi-Ray Huang, Lih-Yih Chiou Minimum convertible voltage analysis for ratioless and robust subthreshold level conversion. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Erkka Laulainen, Matthew J. Turnquist, Jani Mäkipää, Lauri Koskinen Adaptive subthreshold timing-error detection 8 bit microcontroller in 65 nm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15A. R. Aravinth Kumar, Ashudeb Dutta, Shiv Govind Singh Noise-cancelled subthreshold UWB LNA for Wireless Sensor Network application. Search on Bibsonomy ICUWB The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Ming Liu 0015, Xu Zhang 0010, Hong Chen 0002, Chun Zhang, Zhihua Wang 0001 A fast computable delay model for subthreshold circuit. Search on Bibsonomy CCECE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Wei-Bin Yang, Chi-Hsiung Wang, I-Ting Chuo, Huang-Hsuan Hsu A 300 mV 10 MHz 4 kb 10T subthreshold SRAM for ultralow-power application. Search on Bibsonomy ISPACS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Yasuhiro Takahashi, Toshikazu Sekine, Nazrul Anuar Nayan, Michio Yokoyama Power-saving analysis of adiabatic logic in subthreshold region. Search on Bibsonomy ISPACS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Jun Zhang, Yunling Luo, Qiaobo Wang, Jingjing Li, Zhuqian Gong, Hong-Zhou Tan, Yunliang Long A low-voltage, low-power subthreshold CMOS voltage reference without resistors and high threshold voltage devices. Search on Bibsonomy APCCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Tsung-Sum Lee, Wen-Zhe Lu, Yi-Cheng Huang A 0.6-V subthreshold-leakage supressed CMOS fully differential switched-capacitor amplifier. Search on Bibsonomy APCCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Bo Liu, Maryam Ashouei, Jos Huisken, José Pineda de Gyvez Standard cell sizing for subthreshold operation. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Luca Magnelli Subthreshold design of ultra low-power analog modules. Search on Bibsonomy 2012   RDF
15Meng-Fan Chang, Shi-Wei Chang, Po-Wei Chou, Wei-Cheng Wu A 130 mV SRAM With Expanded Write and Read Margins for Subthreshold Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Cheng-Hung Lo, Shi-Yu Huang P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Luca Magnelli, Felice Crupi, Pasquale Corsonello, Calogero Pace, Giuseppe Iannaccone A 2.6 nW, 0.45 V Temperature-Compensated Subthreshold CMOS Voltage Reference. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Michael B. Henry, Leyla Nazhandali Hybrid Super/Subthreshold Design of a Low Power Scalable-Throughput FFT Architecture. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Michiel W. H. Remme, John Rinzel Role of active dendritic conductances in subthreshold input integration. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Woochang Lim, Sang-Yoon Kim Statistical-mechanical measure of stochastic spiking coherence in a population of inhibitory subthreshold neurons. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Yuji Osaki, Tetsuya Hirose, Kei Matsumoto, Nobutaka Kuroki, Masahiro Numa Robust Subthreshold CMOS Digital Circuit Design with On-Chip Adaptive Supply Voltage Scaling Technique. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Kei Matsumoto, Tetsuya Hirose, Yuji Osaki, Nobutaka Kuroki, Masahiro Numa Subthreshold SRAM with Write Assist Technique Using On-Chip Threshold Voltage Monitoring Circuit. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Saurav Chakraborty, Abhijit Mallik, Chandan Kumar Sarkar Subthreshold performance of pocket-implanted silicon-on-insulator CMOS devices and circuits for ultra-low-power analogue/mixed-signal applications. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Amir Hasanbegovic, Snorre Aunet Low-power subthreshold to above threshold level shifters in 90 nm and 65 nm process. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Osamu Hoshino Neuronal Responses Below Firing Threshold for Subthreshold Cross-Modal Enhancement. Search on Bibsonomy Neural Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Osamu Hoshino Subthreshold Membrane Depolarization as Memory Trace for Perceptual Learning. Search on Bibsonomy Neural Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Jeremy R. Tolbert, Xin Zhao 0001, Sung Kyu Lim, Saibal Mukhopadhyay Analysis and Design of Energy and Slew Aware Subthreshold Clock Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Zhihao Ding, Guangxi Hu, Jinglun Gu, Ran Liu 0001, Lingli Wang, Tingao Tang An analytic model for channel potential and subthreshold swing of the symmetric and asymmetric double-gate MOSFETs. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15S. D. Pable, Mohd. Hasan High speed interconnect through device optimization for subthreshold FPGA. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Fayçal Djeffal, Toufik Bendib, Mohamed Amir Abdi A two-dimensional semi-analytical analysis of the subthreshold-swing behavior including free carriers and interfacial traps effects for nanoscale double-gate MOSFETs. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15J. Kevin Hicks, Dhireesha Kudithipudi Hybrid Subthreshold and Nearthreshold Design Methodology for Energy Minimization. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Abhijit Sil, Magdy A. Bayoumi A Bit-Interleaved 2-Port Subthreshold 6T SRAM Array with High Write-Ability and SNM-Free Read in 90 nm. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Vita Pi-Ho Hu, Ming-Long Fan, Pin Su, Ching-Te Chuang Analysis of Ultra-Thin-Body SOI Subthreshold SRAM Considering Line-Edge Roughness, Work Function Variation, and Temperature Sensitivity. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Jinn-Shyan Wang, Pei-Yao Chang, Tai-Shin Tang, Jia-Wei Chen, Jiun-In Guo Design of Subthreshold SRAMs for Energy-Efficient Quality-Scalable Video Applications. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Hak-Kee Jung Analysis of Subthreshold Characteristics for Device Parameter of DGMOSFET Using Gaussian Function. Search on Bibsonomy J. Inform. and Commun. Convergence Engineering The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Ji-Hyeong Han, Hak-Kee Jung, Choon-Shik Park Structure-Dependent Subthreshold Swings for Double-gate MOSFETs. Search on Bibsonomy J. Inform. and Commun. Convergence Engineering The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Dan Kuroda, Masanori Hashimoto, Takao Onoye An Average-Performance-Oriented Subthreshold Processor Self-Timed by Memory Read Completion. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Chutham Sawigun, Wouter A. Serdijn Analysis and Design of a Low-Voltage, Low-Power, High-Precision, Class-AB Current-Mode Subthreshold CMOS Sample and Hold Circuit. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Manmit Muker, Maitham Shams Preference of designing CMOS subthreshold logic circuits using uniform-size transistors. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Indika U. K. Bogoda Appuhamylage, Daisuke Kanemoto, Kenji Taniguchi 0001 A Novel 100ppm/°C current reference for ultra-low-power subthreshold applications. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Bipul C. Paul, Arijit Raychowdhury Digital Subthreshold for Ultra-Low Power Operation: Prospects and Challenges. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Antonio Cerdeira, Magali Estrada, Benjamín Iñíguez, S. Soto Modeling the subthreshold region of OTFTs. Search on Bibsonomy CCE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Joseph Sankman, Dongsheng Ma 0001 A subthreshold digital maximum power point tracker for micropower piezoelectric energy harvesting applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Peter Grossmann, Miriam Leeser A prototype FPGA for subthreshold-optimized CMOS (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Yuji Osaki, Tetsuya Hirose, Nobutaka Kuroki, Masahiro Numa A 95-nA, 523ppm/°C, 0.6-μW CMOS current reference circuit with subthreshold MOS resistor ladder. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Matthew J. Turnquist, Erkka Laulainen, Jani Mäkipää, Lauri Koskinen Measurement of a system-adaptive error-detection sequential circuit with subthreshold SCL. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Ming-Hung Chang, Yi-Te Chiu, Shu-Lin Lai, Wei Hwang A 1kb 9T subthreshold SRAM with bit-interleaving scheme in 65nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
15Roghayeh Saeidi, Mohammad Sharifkhani, Khosrow Hajsadeghi A subthreshold dynamic read SRAM (DRSRAM) based on dynamic stability criteria. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Hao Zhang 0087, Yimeng Zhang, Mengshu Huang, Tsutomu Yoshihara CMOS low-power subthreshold reference voltage utilizing self-biased body effect. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Yilei Li, Yu Wang 0046, Na Yan, Xi Tan, Hao Min A subthreshold MOSFET bandgap reference with ultra-low power supply voltage. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Kyungseok Kim, Vishwani D. Agrawal Minimum energy CMOS design with dual subthreshold supply and multiple logic-level gates. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Adnan Abdul-Aziz Gutub Subthreshold SRAM Designs for Cryptography Security Computations. Search on Bibsonomy ICSECS (1) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Chen Hu, Jun Yang, Meng Zhang, Xiulong Wu A 12T Subthreshold SRAM Bit-Cell for Medical Device Application. Search on Bibsonomy CyberC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Ik Joon Chang, Sang Phill Park, Kaushik Roy 0001 Exploring Asynchronous Design Techniques for Process-Tolerant and Energy-Efficient Subthreshold Operation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Wei-Hsiang Ma, Jerry C. Kao, Visvesh S. Sathe 0001, Marios C. Papaefthymiou 187 MHz Subthreshold-Supply Charge-Recovery FIR. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, David M. Brooks Can Subthreshold and Near-Threshold Circuits Go Mainstream? Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Transistor Variability Modeling and its Validation With Ring-Oscillation Frequencies for Body-Biased Subthreshold Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Tadashi Yasufuku, Taro Niiyama, Piao Zhe, Koichi Ishida, Masami Murakata, Makoto Takamiya, Takayasu Sakurai Difficulty of Power Supply Voltage Scaling in Large Scale Subthreshold Logic Circuits. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Shin'ichi Asai, Ken Ueno, Tetsuya Asai, Yoshihito Amemiya High-Resistance Resistor Consisting of a Subthreshold CMOS Differential Pair. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ramesh Vaddi, Sudeb Dasgupta, R. P. Agarwal Comparison of nano-scale complementary metal-oxide semiconductor and 3T-4T double gate fin-shaped field-effect transistors for robust and energy-efficient subthreshold logic. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Pramod Kumar Tiwari, Chinmay R. Panda, Anupam Agarwal, Pratik Sharma, Satyabrata Jit Modelling of doping-dependent subthreshold swing of symmetric double-gate MOSFETs. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Lars Wolff, Benjamin Lindner Mean, Variance, and Autocorrelation of Subthreshold Potential Fluctuations Driven by Filtered Conductance Shot Noise. Search on Bibsonomy Neural Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ramesh Vaddi, Sudeb Dasgupta, R. P. Agarwal Robustness comparison of DG FinFETs with symmetric, asymmetric, tied and independent gate options with circuit co-design for ultra low power subthreshold logic. Search on Bibsonomy Microelectron. J. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15David Bol, Denis Flandre, Jean-Didier Legat Nanometer MOSFET Effects on the Minimum-Energy Point of Sub-45nm Subthreshold Logic - Mitigation at Technology and Circuit Levels. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15David Coleman, Jia Di Analysis and Improvement of Delay-Insensitive Asynchronous Circuits Operating in Subthreshold Regime. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ramesh Vaddi, Sudeb Dasgupta, R. P. Agarwal Robust and Ultra Low Power Subthreshold Logic Circuits with Symmetric, Asymmetric, 3T, 4T DGFinFETs. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Yusuf Leblebici Nanowatt Range Folding-Interpolating Analog-to-Digital Converter Using Subthreshold Source-Coupled Circuits. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hak-Kee Jung, Ji-Hyeong Han Design of DGMOSFET for Optimum Subthreshold Characteristics using MicroTec. Search on Bibsonomy J. Inform. and Commun. Convergence Engineering The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Udit Monga, H. Børli, Tor A. Fjeldly Compact subthreshold current and capacitance modeling of short-channel double-gate MOSFETs. Search on Bibsonomy Math. Comput. Model. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Steven A. Vitale, Peter W. Wyatt, Nisha Checka, Jakub Kedzierski, Craig L. Keast FDSOI Process Technology for Subthreshold-Operation Ultralow-Power Electronics. Search on Bibsonomy Proc. IEEE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Sumeet Kumar Gupta, Arijit Raychowdhury, Kaushik Roy 0001 Digital Computation in Subthreshold Region for Ultralow-Power Operation: A Device-Circuit-Architecture Codesign Perspective. Search on Bibsonomy Proc. IEEE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ryan D. Jorgenson, Lief Sorensen, Dan Leet, Michael S. Hagedorn, David R. Lamb, Thomas Hal Friddell, Warren P. Snapp Ultralow-Power Operation in Subthreshold Regimes Applying Clockless Logic. Search on Bibsonomy Proc. IEEE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Stuart N. Wooters, Benton H. Calhoun, Travis N. Blalock An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Massimo Alioto Understanding DC Behavior of Subthreshold CMOS Logic Through Closed-Form Analysis. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ling Su, Dongsheng Ma 0001, A. Paul Brokaw Design and Analysis of Monolithic Step-Down SC Power Converter With Subthreshold DPWM Control for Self-Powered Wireless Sensors. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ken Ueno, Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya A 1-muhboxW 600- hboxppm/circhboxC Current Reference Circuit Consisting of Subthreshold CMOS Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Sven Lütkemeier, Ulrich Rückert 0001 A Subthreshold to Above-Threshold Level Shifter Comprising a Wilson Current Mirror. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ming-Hsien Tu, Jihi-Yu Lin, Ming-Chien Tsai, Shyh-Jye Jou, Ching-Te Chuang Single-Ended Subthreshold SRAM With Asymmetrical Write/Read-Assist. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Kapil K. Rajput, Anil K. Saini, Subash Chandra Bose DC Offset Modeling and Noise Minimization for Differential Amplifier in Subthreshold Operation. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Yusuf Leblebici Ultra-low power mixed-signal design platform using subthreshold source-coupled circuits. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Adaptive performance control with embedded timing error predictive sensors for subthreshold circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Yusuf Leblebici Subthreshold current-mode oscillator-based quantizer with 3-decade scalable sampling rate and pico-Ampere range resolution. Search on Bibsonomy ESSCIRC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1034 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license