The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for testability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (18) 1981-1982 (19) 1983-1984 (27) 1985 (18) 1986 (28) 1987-1988 (53) 1989 (39) 1990 (62) 1991 (57) 1992 (64) 1993 (85) 1994 (76) 1995 (135) 1996 (84) 1997 (92) 1998 (95) 1999 (99) 2000 (102) 2001 (68) 2002 (91) 2003 (78) 2004 (82) 2005 (87) 2006 (75) 2007 (79) 2008 (87) 2009 (49) 2010 (42) 2011 (34) 2012 (28) 2013 (17) 2014 (28) 2015 (21) 2016 (18) 2017-2018 (35) 2019 (29) 2020 (26) 2021 (29) 2022 (25) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(783) book(2) incollection(3) inproceedings(1401) phdthesis(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2833 occurrences of 878 keywords

Results
Found 2210 publication records. Showing 2210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Michel Renovell, Florence Azaïs, Yves Bertrand Optimized Implementations of the Multi-Configuration DFT Technique for Analog Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLSI, Test, Analog Circuit, Mixed Signal Circuit
15Mehrdad Nourani, Christos A. Papachristou A Bypass Scheme for Core-Based System Fault Testing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Eduardo J. Peralías, Adoración Rueda, Juan A. Prieto, José L. Huertas DfT and on-line test of high-performance data converters: a practical case. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Alaaeldin A. Amin, Mohamed Y. Osman, Radwan E. Abdel-Aal, Husni Al-Muhtaseb New fault models and efficient BIST algorithms for dual-port memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Joel A. Jorgenson, Russell J. Wagner Design-For-Test in a Multiple Substrate Multichip Module. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Multichip Module (MCM) Test, Known-Good Die (KGD), Ball Grid Array (BGA), Built-In-Self-Test (BIST), boundary-scan
15Mehrdad Nourani, Christos A. Papachristou Structural BIST insertion using behavioral test analysis. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Giacomo Buonanno, Fabrizio Ferrandi, L. Ferrandi, Franco Fummi, Donatella Sciuto How an "Evolving" Fault Model Improves the Behavioral Test Generation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Suman Kanjilal, Srimat T. Chakradhar, Vishwani D. Agrawal A partition and resynthesis approach to testable design of large circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Niraj K. Jha, Abha Ahuja Easily testable nonrestoring and restoring gate-level cellular array dividers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15Srinivas Devadas, Kurt Keutzer, Sharad Malik A synthesis-based test generation and compaction algorithm for multifaults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Iogic synthesis, test generation, multiple fault, test compaction
15Gary D. Hachtel, Reily M. Jacoby, Kurt Keutzer, Christopher R. Morrison On properties of algebraic transformations and the synthesis of multifault-irredundant circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Srinivas Devadas, Kurt Keutzer Synthesis of robust delay-fault-testable circuits: practice. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15D. M. Marcynuk, D. Michael Miller The OR-k method for on-line checking of programmable logic arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF fault secure design, on-line checking, concurrency, programmable logic array
15Srinivas Devadas, Hi-Keung Tony Ma Easily testable PLA-based finite state machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Debashis Bhattacharya, John P. Hayes Designing for high-level test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15R. P. van Riessen, Hans G. Kerkhoff, A. Kloppenburg Designing and Implementing an Architecture with Boundary Scan. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli A synthesis and optimization procedure for fully and easily testable sequential machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Chantal Robach, Daniel Lutoff, Nouar Garcia Knowledge-based functional specification of test and maintenance programs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Yashwant K. Malaiya On inherent untestability of unaugmented microprogrammed control. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Sam M. Kim, Robert McNaughton, Robert McCloskey An Upper Bound on the Order of Locally Testable Deterministic Finite Automata. Search on Bibsonomy Optimal Algorithms The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Pinaki Mazumder, Janak H. Patel, W. Kent Fuchs Design and Algorithms for Parallel Testing of Random Access and Content Addressable Memories. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15Melvin A. Breuer, Xi-an Zhu A knowledge based system for selecting a test methodology for a PLA. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
13Irith Pomeranz Testability Evaluation for Local Design Modifications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Tianming Ni, Xiaoqing Wen, Hussam Amrouch, Cheng Zhuo, Peilin Song Introduction to the Special Issue on Design for Testability and Reliability of Security-aware Hardware. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Morteza Zakeri Nasrabadi, Saeed Parsa Natural Language Requirements Testability Measurement Based on Requirement Smells. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Soham Roy, Vishwani D. Agrawal An Amalgamated Testability Measure Derived from Machine Intelligence. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Masaya Muramatsu, Yasuhiro Noguchi, Satoru Kogure, Koichi Yamashita, Tatsuhiro Konishi, Yukihiro Itoh Classroom Practice with Learning Support System for Program Design Using Mock Technique Based on Testability. Search on Bibsonomy SN Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Lok-Won Kim, Quang Hieu Vo, Choong Seon Hong Runtime Testability on Autonomous System. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Tushar Sharma 0001, Stefanos Georgiou, Maria Kechagia, Taher A. Ghaleb, Federica Sarro Investigating developers' perception on software testability and its effects. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hyun-Jae Choi, Heung Seok Chae Development of Testability Prediction Models Considering Complexity Diversity for C Programs. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Gleb Kalachev High-dimensional Expansion of Product Codes is Stronger than Robust and Agreement Testability. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Panna Tímea Fekete, Gábor Kun Easy testability for posets. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Pavel Reich, Walid Maalej Testability Refactoring in Pull Requests: Patterns and Trends. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Isolde Adler, Noleen Köhler, Pan Peng 0001 On Testability of First-Order Properties in Bounded-Degree Graphs and Connections to Proximity-Oblivious Testing. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Luca Guglielmo, Leonardo Mariani, Giovanni Denaro Measuring Software Testability via Automatically Generated Test Cases. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Fei Su, Chunsheng Liu, Haralampos-G. Stratigopoulos Special Issue on Testability and Dependability of Artificial Intelligence Hardware. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Partha Pratim Pande Special Issue on Testability and Dependability of Artificial Intelligence Hardware. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Fei Su, Chunsheng Liu, Haralampos-G. Stratigopoulos Testability and Dependability of AI Hardware: Survey, Trends, Challenges, and Perspectives. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Eran Nevo Embedding Divisor and Semi-Prime Testability in f-Vectors of Polytopes. Search on Bibsonomy Discret. Comput. Geom. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Saeed Parsa Software Testing Automation - Testability Evaluation, Refactoring, Test Data Generation and Fault Localization Search on Bibsonomy 2023   DOI  RDF
13Jens Anders, Pablo Andreu, Bernd Becker 0001, Steffen Becker 0001, Riccardo Cantoro, Nikolaos Ioannis Deligiannis, Nourhan Elhamawy, Tobias Faller, Carles Hernández 0001, Nele Mentens, Mahnaz Namazi Rizi, Ilia Polian, Abolfazl Sajadi, Matthias Sauer 0002, Denis Schwachhofer, Matteo Sonza Reorda, Todor Stefanov, Ilya Tuzov, Stefan Wagner 0001, Nusa Zidaric A Survey of Recent Developments in Testability, Safety and Security of RISC-V Processors. Search on Bibsonomy ETS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Razieh Nabi, Rohit Bhattacharya On Testability and Goodness of Fit Tests in Missing Data Models. Search on Bibsonomy UAI The full citation details ... 2023 DBLP  BibTeX  RDF
13Thomas Vidick Quantum Codes, Local Testability and Interactive Proofs: State of the Art and Open Questions (Invited Talk). Search on Bibsonomy ICALP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Dan Bruce, David Kelly, Héctor D. Menéndez 0001, Earl T. Barr, David Clark 0001 June: A Type Testability Transformation for Improved ATG Performance. Search on Bibsonomy ISSTA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yudai Toyooka, Haruki Watanabe, Toshinori Hosokawa, Masayoshi Yoshimura An Evaluation of Estimated Field Random Testability for Data Paths at Register Transfer Level Using Status Signal Sequences Based on k-Consecutive State Transitions for Field Testing. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Toshinori Hosokawa, Kyohei Iizuka, Masayoshi Yoshimura An Evaluation of a Testability Measure for State Assignment to Estimate Transition Fault Coverage for Controllers. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jin-Fu Li 0001 Testing of Computing-In Memories: Faults, Test Algorithms, and Design-for-Testability. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Mingye Li, Yunkun Lin, Sandeep Gupta 0001 Design for testability (DFT) for RSFQ circuits. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Fei Su, Eric Zhang, Arjun Chaudhuri, Michael Paulitsch Innovation Practices Track: Testability and Dependability of AI Hardware and Autonomous Systems. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Pavel Reich, Walid Maalej Testability Refactoring in Pull Requests: Patterns and Trends. Search on Bibsonomy ICSE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Tapas Nandy, Ashish Joshi, Sanjoy Kumar Dey Large Network of Wide- Range Analog Voltage Observers for Debug & Testability. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Raghvinder S. Sangwan, Youakim Badr, Satish Mahadevan Srinivasan, Partha Mukherjee On the Testability of Artificial Intelligence and Machine Learning Systems. Search on Bibsonomy Computer The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Hari Mohan Gaur, Ashutosh Kumar Singh 0001, Umesh Ghanekar An Efficient Design of Scalable Reversible Multiplier with Testability. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Mamdouh Alenezi Investigating Software Testability and Test cases Effectiveness. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
13Oren Becker, Alexander Lubotzky, Jonathan Mosheiff Testability in group theory. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Louis Esperet, Sergey Norin Testability and local certification of monotone properties in minor-closed classes. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
13Fatemeh Sheikh Shoaei, Alireza Nahvy, Zainalabedin Navabi Testable Array Multipliers for a Better Utilization of C-Testability and Bijectivity. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
13A. N. Trahtman A polynomial time algorithm for local testability and its level. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Rohit Bhattacharya, Razieh Nabi On Testability of the Front-Door Model via Verma Constraints. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Morteza Zakeri Nasrabadi, Saeed Parsa An ensemble meta-estimator to predict source code testability. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Razieh Nabi, Rohit Bhattacharya On Testability and Goodness of Fit Tests in Missing Data Models. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Morteza Zakeri Nasrabadi, Saeed Parsa An ensemble meta-estimator to predict source code testability. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13M. I. Shiny, M. Nirmala Devi 0001 Trustworthy Scan Design and Testability Using Obfuscation and Logic Locking Scheme for Wireless Network Application. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Anthony Leverrier, Vivien Londe, Gilles Zémor Towards local testability for quantum coding. Search on Bibsonomy Quantum The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Andrea Arcuri, Juan P. Galeotti Enhancing Search-based Testing with Testability Transformations for Existing APIs. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Feng Xie 0002, Yangbo He, Zhi Geng, Zhengming Chen, Ru Hou, Kun Zhang 0001 Testability of Instrumental Variables in Linear Non-Gaussian Acyclic Causal Models. Search on Bibsonomy Entropy The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Krishna Patel, Robert M. Hierons, David Clark 0001 An information theoretic notion of software testability. Search on Bibsonomy Inf. Softw. Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Omid Aramoon, Gang Qu 0001, Aijiao Cui Building Hardware Security Primitives Using Scan-based Design-for-Testability. Search on Bibsonomy MWSCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Amy Wilson, Fadi Wedyan, Safwan Omari An Empirical Evaluation and Comparison of the Impact of MVVM and MVC GUI Driven Application Architectures on Maintainability and Testability. Search on Bibsonomy IDSTA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Wei-Ming Ma, William S. Chao Architecture Oriented Design to Enhance the Testability of Smart Tourism City IoT System. Search on Bibsonomy PACIS The full citation details ... 2022 DBLP  BibTeX  RDF
13Rohit Bhattacharya, Razieh Nabi On testability of the front-door model via Verma constraints. Search on Bibsonomy UAI The full citation details ... 2022 DBLP  BibTeX  RDF
13Louis Esperet, Sergey Norin Testability and Local Certification of Monotone Properties in Minor-Closed Classes. Search on Bibsonomy ICALP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Feras Al Kassar, Giulia Clerici, Luca Compagna, Davide Balzarotti, Fabian Yamaguchi Testability Tarpits: the Impact of Code Patterns on the Security Testing of Web Applications. Search on Bibsonomy NDSS The full citation details ... 2022 DBLP  BibTeX  RDF
13Abram Detofsky Special Session: A Testability Practitioner's Guide to Chiplets. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Sebastian Huhn 0001, Rolf Drechsler Next Generation Design For Testability, Debug and Reliability Using Formal Techniques. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Tali Kaufman, Izhar Oppenheim High Dimensional Expansion Implies Amplified Local Testability. Search on Bibsonomy APPROX/RANDOM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Yixin Hu, Yigang Sun, Jiao Xue Exponential-Based Testability Index Allocation and Feasibility Analysis Method. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Xuezheng Zhu, Chaoshuai Han, Ruiqi Liu, Guohui Yan, Jin Gu One universal method of complex system reliability, maintainability, supportability, testability quotas design and trade-off based on improved flower pollination algorithm. Search on Bibsonomy Qual. Reliab. Eng. Int. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13S. Rooban, Prasanna D. Lakshmi, Teja K. B. S. Durga, Kumar P. V. Mani Carry Select Adder Design with Testability using Reversible Gates. Search on Bibsonomy Int. J. Perform. Eng. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Hsin-Yu Chien, Chin-Yu Huang, Chih-Chiang Fang Applying Slicing-based Testability Transformation to Improve Test Data Generation with Symbolic Execution. Search on Bibsonomy Int. J. Perform. Eng. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Joyati Mondal, Arighna Deb, Debesh K. Das An Efficient Design for Testability Approach of Reversible Logic Circuits. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Mahshid Tebyanian, Azadeh Mokhtarpour, Alireza Shafieinejad SC-COTD: Hardware Trojan Detection Based on Sequential/Combinational Testability Features using Ensemble Classifier. Search on Bibsonomy J. Electron. Test. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Dave Y.-W. Lin, Charles H.-P. Wen A Delay-Adjustable, Self-Testable Flip-Flop for Soft-Error Tolerability and Delay-Fault Testability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13A. N. Trahtman An algorithm to verify local threshold testability of deterministic finite automata. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13Luca Guglielmo, Andrea Riboni, Giovanni Denaro Towards Evidence-based Testability Measurements. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13Min Li 0019, Zhengyuan Shi, Zezhong Wang 0006, Weiwei Zhang, Yu Huang, Qiang Xu 0001 Testability-Aware Low Power Controller Design with Evolutionary Learning. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13Eran Nevo Embedding Divisor and Semi-Prime Testability in f-vectors of polytopes. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13A. N. Trahtman A package TESTAS for checking some kinds of testability. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13A. N. Trahtman Verification Tools for Checking some kinds of Testability. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13Tali Kaufman, Izhar Oppenheim High dimensional expansion implies amplified local testability. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13Tomás Masopust, Markus Krötzsch Partially Ordered Automata and Piecewise Testability. Search on Bibsonomy Log. Methods Comput. Sci. The full citation details ... 2021 DBLP  BibTeX  RDF
13Katherine Harrison, Ahmet Börütecene, Jonas Löwgren, Desirée Enlund, Rasmus Ringdahl, Vangelis Angelakis Design of Reversible Arithmetic Logic Unit with Built-In Testability. Search on Bibsonomy IEEE Technol. Soc. Mag. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Ramanuj Chouksey, Sachin Kumar Maddheshiya, Chandan Karfa VP_TT: A value propagation based equivalence checker for testability transformations. Search on Bibsonomy IET Softw. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Isolde Adler, Noleen Köhler, Pan Peng 0001 On Testability of First-Order Properties in Bounded-Degree Graphs. Search on Bibsonomy SODA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Anthony Leverrier, Vivien Londe, Gilles Zémor Towards Local Testability for Quantum Coding. Search on Bibsonomy ITCS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Kangkang Dou, Mengyuan Zhan, Zhen Li 0020, Xiankang Chen Testability Evaluation by Multi-sources Bayes Method Applied in Torpedo Weapon System with Improved Jaccard Similarity. Search on Bibsonomy ACAI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Oren Becker, Alexander Lubotzky, Jonathan Mosheiff Testability of relations between permutations. Search on Bibsonomy FOCS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Alexander M. Gruzlikov, Nikolai V. Kolesov Testability Distributed Real-Time Computing System. Search on Bibsonomy SysTol The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Morteza Zakeri Nasrabadi, Saeed Parsa Learning to Predict Software Testability. Search on Bibsonomy CSICC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Anurag Tulsiram, William R. Eisenstadt Design for Testability of Low Dropout Regulators. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 2210 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license