The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1994 (16) 1995 (81) 1997 (101) 1998 (105) 1999 (98) 2000 (148) 2001 (189) 2002 (164) 2003 (238) 2004 (268) 2005 (393) 2006 (227) 2007 (235) 2008 (215) 2009 (235) 2010 (205) 2011 (197) 2012 (195) 2013 (191) 2014 (176) 2015 (202) 2016 (174) 2017 (186) 2018 (185) 2019 (182) 2020 (171) 2021 (197) 2022 (175) 2023 (183) 2024 (12)
Publication types (Num. hits)
article(378) book(20) data(1) incollection(8) inproceedings(4902) phdthesis(7) proceedings(28)
Venues (Conferences, Journals, ...)
ASP-DAC(3979) ASP-DAC/VLSI Design(129) CoRR(110) LPNMR(101) Answer Set Programming(85) Theory Pract. Log. Program.(53) ICLP(41) CILC(23) HICSS(22) Interfaces(21) JELIA(18) PADL(17) IJCAI(16) ICLP (Technical Communications...(13) KR(13) AAAI(10) More (+10 of total 478)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 860 occurrences of 653 keywords

Results
Found 5360 publication records. Showing 5344 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Gregg J. Suaning Strategic circuits for neuromodulation of the visual system. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Bruno de O. Schmitt, Alan Mishchenko, Victor N. Kravets, Robert K. Brayton, André Inácio Reis Fast-extract with cube hashing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Shanshan Liu 0001, Liyi Xiao, Xuebing Cao, Zhigang Mao Reliability analysis of memories suffering MBUs for the effect of negative bias temperature instability. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Qian Zhang 0020, Ting Wang 0008, Qiang Xu 0001 On resilient task allocation and scheduling with uncertain quality checkers. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Sudarshan Sargur, Roman Lysecky Non-intrusive dynamic profiler for multicore embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Shunti Yin, Deepak Kadetotad, Bonan Yan, Chang Song 0001, Yiran Chen 0001, Chaitali Chakrabarti, Jae-sun Seo Low-power neuromorphic speech recognition engine with coarse-grain sparsity. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Debjyoti Bhattacharjee, Arvind Easwaran, Anupam Chattopadhyay Area-constrained technology mapping for in-memory computing using ReRAM devices. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Omayma Matoussi, Frédéric Pétrot Loop aware IR-level annotation framework for performance estimation in native simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Naveen Katam, Alireza Shafaei, Massoud Pedram Design of multiple fanout clock distribution network for rapid single flux quantum technology. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Po-Kai Chuang, Ya-Shu Chen, Po-Hao Huang An adaptive on-line CPU-GPU governor for games on mobile devices. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Masaharu Imai, Yoshinori Takeuchi, Jun Ohta, Gregg Jørgen Suaning, Chung-Yu Wu, Napoleon Torres-Martinez Emerging technologies for biomedical applications: Artificial vision systems and brain machine interface. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yan Li, Chen Yang, Wei Zhong, Zhiwei Li, Song Chen 0001 High throughput hardware architecture for accurate semi-global matching. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Mohsen Imani, Yeseong Kim, Tajana Rosing MPIM: Multi-purpose in-memory processing using configurable resistive memory. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25 21st Asia and South Pacific Design Automation Conference, ASP-DAC 2016, Macao, Macao, January 25-28, 2016 Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  BibTeX  RDF
25Ying-Yu Chen, Morteza Gholipour, Deming Chen Flexible transition metal dichalcogenide field-effect transistors: A circuit-level simulation study of delay and power under bending, process variation, and scaling. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Islam A. K. M. Mahfuzul, Hidetoshi Onodera On-chip monitoring and compensation scheme with fine-grain body biasing for robust and energy-efficient operations. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Wandi Liu, Hai Wang 0002, Hengyang Zhao, Shujuan Wang, Hai-Bao Chen, Yuzhuo Fu, Jian Ma 0002, Xin Li 0001, Sheldon X.-D. Tan Thermal modeling for energy-efficient smart building with advanced overfitting mitigation technique. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Chak-Fong Cheang, Ka-Fai Un, Pui-In Mak, Rui Paulo Martins Time-domain I/Q-LOFT compensator using a simple envelope detector for a sub-GHz IEEE 802.11af WLAN transmitter. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Mingyu Wang, Zhaolin Li STLAC: A spatial and temporal locality-aware cache and network-on-chip codesign for tiled many-core systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Chio-In Ieong, Pui-In Mak, Mang I Vai, Rui Paulo Martins Sub-µW QRS detection processor using quadratic spline wavelet transform and maxima modulus pair recognition for power-efficient wireless arrhythmia monitoring. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Lu Wang 0019, Sheng Ma, Zhiying Wang 0003 A high performance reliable NoC router. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Liangzhen Lai, Puneet Gupta 0001 Hardware Reliability margining for the dark silicon era. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Fedor G. Pikus, Andres J. Torres Advanced multi-patterning and hybrid lithography techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Abhronil Sengupta, Karthik Yogendra, Deliang Fan, Kaushik Roy 0001 Prospects of efficient neural computing with arrays of magneto-metallic neurons and synapses. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Tiansong Cui, Shuang Chen 0001, Yanzhi Wang, Qi Zhu 0002, Shahin Nazarian, Massoud Pedram Optimal co-scheduling of HVAC control and battery management for energy-efficient buildings considering state-of-health degradation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Tao Luo 0014, Wei Zhang 0012, Bingsheng He, Douglas L. Maskell A racetrack memory based in-memory booth multiplier for cryptography application. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Kiichi Niitsu, Atsuki Kobayashi, Yudai Ogawa, Matsuhiko Nishizawa, Kazuo Nakazato Design of an energy-autonomous, disposable, supply-sensing biosensor using bio fuel cell and 0.23-V 0.25-µm zero-Vth all-digital CMOS supply-controlled ring oscillator with inductive transmitter. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Jingyang Zhu, Zhiliang Qian, Chi-Ying Tsui LRADNN: High-throughput and energy-efficient Deep Neural Network accelerator using Low Rank Approximation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yuan Xue, Patrick Cronin, Chengmo Yang, Jingtong Hu Routing path reuse maximization for efficient NV-FPGA reconfiguration. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Inhak Han, Daijoon Hyun, Youngsoo Shin Buffer insertion to remove hold violations at multiple process corners. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Jason Cong, Hui Huang 0001, Mohammad Ali Ghodrat A scalable communication-aware compilation flow for programmable accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Qing Lu, Chiu-Wing Sham, Francis C. M. Lau 0002 Rapid prototyping of multi-mode QC-LDPC decoder for 802.11n/ac standard. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Hoda Pahlevanzadeh, Jaya Dofe, Qiaoyan Yu Assessing CPA resistance of AES with different fault tolerance mechanisms. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Rickard Ewetz, Cheng-Kok Koh MCMM clock tree optimization based on slack redistribution using a reduced slack graph. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Lei Jiang 0001, Wujie Wen, Danghui Wang, Lide Duan Improving read performance of STT-MRAM based main memories through Smash Read and Flexible Read. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Tao Liu, Hui Guo 0001, Sri Parameswaran, Xiaobo Sharon Hu Improving tag generation for memory data authentication in embedded processor systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Tatsuya Kamakari, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera A closed-form stability model for cross-coupled inverters operating in sub-threshold voltage region. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Zigang Xiao, Chun-Xun Lin, Martin D. F. Wong, Hongbo Zhang 0001 Contact layer decomposition to enable DSA with multi-patterning technique for standard cell based layout. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Chenjie Yang, Fan Yang 0001, Xuan Zeng 0001, Dian Zhou An efficient trajectory-based algorithm for model order reduction of nonlinear systems via localized projection and global interpolation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Seong-I Lei, Wai-Kei Mak, Chris Chu Minimum implant area-aware placement and threshold voltage refinement. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Paolo Mantovani, Giuseppe Di Guglielmo, Luca P. Carloni High-level synthesis of accelerators in embedded scalable platforms. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yuan Gao 0002, Lisong Li, Philip K. T. Mok An AC powered converter-free LED driver with low flicker. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Sebastian Steinhorst, Zili Shao, Samarjit Chakraborty, Matthias Kauer, Shuai Li 0002, Martin Lukasiewycz, Swaminathan Narayanaswamy, Muhammad Usman Rafique, Qixin Wang Distributed reconfigurable Battery System Management Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Chen Liu 0013, Patrick Cronin, Chengmo Yang A mutual auditing framework to protect IoT against hardware Trojans. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Shuo Wang 0009, Yun Liang 0001, Chao Zhang 0007, Xiaolong Xie, Guangyu Sun 0003, Yongpan Liu, Yu Wang 0002, Xiuhong Li Performance-centric register file design for GPUs using racetrack memory. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Qin Wang 0005, Yizhong Ru, Hailong Yao, Tsung-Yi Ho, Yici Cai Sequence-pair-based placement and routing for flow-based microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Kyounghoon Kim, Jongeun Lee, Kiyoung Choi An energy-efficient random number generator for stochastic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Xiong Pan, Wei Jiang 0016, Ke Jiang, Liang Wen, Qi Dong Energy optimization of stochastic applications with statistical guarantees of deadline and reliability. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yu-Guang Chen, Wan-Yu Wen, Yun-Ting Wang, You-Luen Lee, Shih-Chieh Chang A novel low-cost dynamic logic reconfigurable structure strategy for low power optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Junlong Zhou, Xiaobo Sharon Hu, Yue Ma 0001, Tongquan Wei Balancing lifetime and soft-error reliability to improve system availability. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Seongbo Shim, Youngsoo Shin Mask optimization for directed self-assembly lithography: Inverse DSA and inverse lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Leibin Ni, Yuhao Wang 0002, Hao Yu 0001, Wei Yang, Chuliang Weng, Junfeng Zhao 0003 An energy-efficient matrix multiplication accelerator by distributed in-memory computing on binary RRAM crossbar. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Juan Yi, Qian Zhang 0020, Ye Tian 0010, Ting Wang 0008, Weichen Liu, Edwin Hsing-Mean Sha, Qiang Xu 0001 ApproxMap: On task allocation and scheduling for resilient applications. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Dimitar Nikolov, Erik Larsson Maximizing level of confidence for non-equidistant Checkpointing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yung-Chih Chen, Runyi Wang, Yan-Ping Chang Fast synthesis of threshold logic networks with optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Ke Jiang, Petru Eles, Zebo Peng, Sudipta Chattopadhyay 0001, Lejla Batina SPARTA: A scheduling policy for thwarting differential power analysis attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Dustin Peterson, Oliver Bringmann 0001 SMoSi: A framework for the derivation of sleep mode traces from RTL simulations. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Siarhei S. Zalivaka, Alexander V. Puchkov, Vladimir P. Klybik, Alexander A. Ivaniuk, Chip-Hong Chang Multi-valued Arbiters for quality enhancement of PUF responses on FPGA implementation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Travis Meade, Shaojie Zhang, Yier Jin Netlist reverse engineering for high-level functionality reconstruction. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Roberto Vargas, Sara Royuela, Maria A. Serrano, Xavier Martorell, Eduardo Quiñones A lightweight OpenMP4 run-time for embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Hongbin Zhang, Chao Zhang 0007, Xian Zhang 0001, Guangyu Sun 0003, Jiwu Shu Pin Tumbler Lock: A shift based encryption mechanism for racetrack memory. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Shang-Yi Li, Pei-Yuan Chou, Jinn-Shyan Wang Design of an all-digital temperature sensor in 28 nm CMOS using temperature-sensitive delay cells and adaptive-1P calibration for error reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Bingjun Xiao, Jinjun Xiong, Yiyu Shi 0001 Novel applications of deep learning hidden features for adaptive testing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Mohammad Motamedi, Philipp Gysel, Venkatesh Akella, Soheil Ghiasi Design space exploration of FPGA-based Deep Convolutional Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Shih-Chun Chou, Yuan-Hao Chang 0001, Yuan-Hung Kuan, Po-Chun Huang, Che-Wei Tsao Multi-version checkpointing for flash file systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Nitin Rathi, Swaroop Ghosh, Anirudh Iyengar, Helia Naeimi Data privacy in non-volatile cache: Challenges, attack models and solutions. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Qiang Zhu 0005, Masato Tatsuoka High quality IP design using high-level synthesis design flow. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yu-Min Chou, Yung-Chih Chen, Chun-Yao Wang, Ching-Yi Huang MajorSat: A SAT solver to majority logic. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25M. Hassan Najafi, David J. Lilja, Marc D. Riedel, Kia Bazargan Polysynchronous stochastic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Li-Chung Hsu, Junichiro Kadomoto, So Hasegawa, Atsutake Kosuge, Yasuhiro Take, Tadahiro Kuroda Analytical thruchip inductive coupling channel design optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Joonki Hong, Sangjun Park, Naehyuck Chang Accurate remaining range estimation for Electric vehicles. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Ahmed Nassar 0001, Fadi J. Kurdahi Lattice-based Boolean diagrams. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Robert Wille, Oliver Keszöcze, Marcel Walter, Patrick Rohrs, Anupam Chattopadhyay, Rolf Drechsler Look-ahead schemes for nearest neighbor optimization of 1D and 2D quantum circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Wei-Lin Wang, Yuan-Hao Chang 0001, Po-Chun Huang, Chia-Heng Tu, Hsin-Wen Wei, Wei-Kuan Shih Relay-based key management to support secure deletion for resource-constrained flash-memory storage devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Donkyu Baek, Joonki Hong, Naehyuck Chang Minimum-energy driving speed profiles for low-speed electric vehicles. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Jian Kuang 0001, Junjie Ye, Evangeline F. Y. Young Simultaneous template optimization and mask assignment for DSA with multiple patterning. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yi-Siang Su, Tsung-Yi Ho, Der-Tsai Lee A routability-driven flow routing algorithm for programmable microfluidic devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Matthias Jung 0001, Deepak M. Mathew, Christian Weis, Norbert Wehn Efficient reliability management in SoCs - an approximate DRAM perspective. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Mathias Soeken, Daniel Große, Arun Chandrasekharan, Rolf Drechsler BDD minimization for approximate computing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Xing Wei, Yi Diao, Yu-Liang Wu To Detect, Locate, and Mask Hardware Trojans in digital circuits by reverse engineering and functional ECO. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Zhaoxin Liang, Meghna G. Mankalale, Brandon Del Bel, Sachin S. Sapatnekar Logic and memory design using spin-based circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Jain-De Li, Sying-Jyan Wang, Katherine Shu-Min Li, Tsung-Yi Ho Congestion- and timing-driven droplet routing for pin-constrained paper-based microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Mark Po-Hung Lin, Yao-Wen Chang, Chih-Ming Hung Recent research development and new challenges in analog layout synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Hrishikesh Jayakumar, Arnab Raha, Younghyun Kim 0001, Soubhagya Sutar, Woo Suk Lee, Vijay Raghunathan Energy-efficient system design for IoT devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Karthik Yogendra, Deliang Fan, Yong Shim, Minsuk Koo, Kaushik Roy 0001 Computing with coupled Spin Torque Nano Oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui-In Mak, Mang I Vai, Sio-Hang Pun, Rui Paulo Martins Sub-threshold VLSI logic family exploiting unbalanced pull-up/down network, logical effort and inverse-narrow-width techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Tetsuaki Matsunawa, Bei Yu 0001, David Z. Pan Laplacian eigenmaps and bayesian clustering based layout pattern sampling and its applications to hotspot detection and OPC. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Petra R. Maier, Veit B. Kleeberger Embedded software reliability testing by unit-level fault injection. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Deokjin Joo, Taewhan Kim Clock buffer polarity assignment utilizing useful clock skews for power noise reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Sebastian Ottlik, Jan Micha Borrmann, Sadik Asbach, Alexander Viehl, Wolfgang Rosenstiel, Oliver Bringmann 0001 Trace-based context-sensitive timing simulation considering execution path variations. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Ping Chi, Shuangchen Li, Yuanqing Cheng, Yu Lu, Seung H. Kang, Yuan Xie 0001 Architecture design with STT-RAM: Opportunities and challenges. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Xian Zhang 0001, Guangyu Sun 0003, Yaojun Zhang, Yiran Chen 0001, Hai Li 0001, Wujie Wen, Jia Di A novel PUF based on cell error rate distribution of STT-RAM. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Aravind Tharayil Narayanan, Makihiko Katsuragi, Kengo Nakata, Yuki Terashima, Kenichi Okada, Akira Matsuzawa A noise reduction technique for divider-less fractional-N frequency synthesizer using phase-interpolation technique. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Bin Lin, Zhenkun Yang, Kai Cong, Fei Xie Generating high coverage tests for SystemC designs using symbolic execution. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Jacob Wurm, Khoa Hoang, Orlando Arias, Ahmad-Reza Sadeghi, Yier Jin Security analysis on consumer and industrial IoT devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Nikolay Matyunin, Jakub Szefer, Sebastian Biedermann, Stefan Katzenbeisser 0001 Covert channels using mobile device's magnetic field sensors. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Anastasis Keliris, Charalambos Konstantinou, Nektarios Georgios Tsoutsos, Raghad Baiad, Michail Maniatakos Enabling multi-layer cyber-security assessment of Industrial Control Systems through Hardware-In-The-Loop testbeds. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Xinhan Lin, Shouyi Yin, Leibo Liu, Shaojun Wei Exploiting parallelism of imperfect nested loops with sibling inner loops on coarse-grained reconfigurable architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Man-Pan Wong, Wen-Hao Liu, Ting-Chi Wang Negotiation-based track assignment considering local nets. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Louis Y.-Z. Lin, Charles H.-P. Wen Speed binning with high-quality structural patterns from functional timing analysis (FTA). Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 5344 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license