The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2000 (24) 2001-2002 (22) 2003-2004 (31) 2005 (59) 2006 (80) 2007 (132) 2008 (124) 2009 (102) 2010 (70) 2011 (36) 2012 (16) 2013 (19) 2014 (17) 2015-2016 (24) 2017-2019 (15) 2020-2023 (19) 2024 (2)
Publication types (Num. hits)
article(156) incollection(2) inproceedings(627) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 857 occurrences of 424 keywords

Results
Found 792 publication records. Showing 792 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Martin Karlsson, Erik Hagersten Conserving Memory Bandwidth in Chip Multiprocessors with Runahead Execution. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ryan E. Grant, Ahmad Afsahi A Comprehensive Analysis of OpenMP Applications on Dual-Core Intel Xeon SMPs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mahmut T. Kandemir Data locality enhancement for CMPs. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Feiqi Su, Xudong Shi 0003, Gang Liu, Ye Xia 0001, Jih-Kwon Peir Comparative evaluation of multi-core cache occupancy strategies. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ruibin Xu, Rami G. Melhem, Daniel Mossé Energy-Aware Scheduling for Streaming Applications on Chip Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sungjune Youn, Hyunhee Kim, Jihong Kim 0001 A reusability-aware cache memory sharing technique for high-performance low-power CMPs with private L2 caches. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors (CMPS), performance, embedded systems, architecture, low-power, L2 cache
9Amit Kumar 0002, Partha Kundu, Arvind P. Singh, Li-Shiuan Peh, Niraj K. Jha A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sung-Joon Jang, Moo-Kyoung Chung, Jaemoon Kim, Chong-Min Kyung Cache Miss-Aware Dynamic Stack Allocation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yanming Jia, Yici Cai, Xianlong Hong Dummy fill aware buffer insertion during routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, VLSI, DFM, buffer insertion, dummy fill
9Philippe Magarshack Design challenges in 45nm and below: DFM, low-power and design for reliability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF design for reliability, low-power design, design for manufacturability
9Andrew B. Kahng, Rasit Onur Topaloglu A DOE Set for Normalization-Based Extraction of Fill Impact on Capacitances. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mikhail Smelyanskiy, Victor W. Lee, Daehyun Kim 0001, Anthony D. Nguyen, Pradeep Dubey Scaling performance of interior-point method on large-scale chip multiprocessor system. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Li Hui, Junming Wu, Guoliang Chen 0001, Xiufeng Sui MPUS: a scalable parallel simulator for RedNeurons parallel computer. Search on Bibsonomy Infoscale The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RedNeurons parallel computer, parallel simulator, MPICH2
9Subramanian Rajagopalan, Shabbir H. Batterywala A 3-dimensional FEM Based Resistance Extraction. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Kyle J. Nesbit, James Laudon, James E. Smith 0001 Virtual private caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality of service, chip multiprocessor, soft real-time, shared caches, performance isolation
9Martha Mercaldi Kim, Mojtaba Mehrara, Mark Oskin, Todd M. Austin Architectural implications of brick and mortar silicon manufacturing. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip assembly, design re-use, interconnect design
9Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparing memory systems for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, chip multiprocessors, locality optimizations, coherent caches
9Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez Core fusion: accommodating software diversity in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, reconfigurable architectures, software diversity
9Shimin Chen, Phillip B. Gibbons, Michael Kozuch, Vasileios Liaskovitis, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Todd C. Mowry, Chris Wilkerson Scheduling threads for constructive cache sharing on CMPs. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constructive cache sharing, parallel depth first, thread granularity, working set profiling, chip multiprocessors, scheduling algorithms, work stealing
9Assaf Shacham, Keren Bergman, Luca P. Carloni The Case for Low-Power Photonic Networks on Chip. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Weiwu Hu, Rui Hou, Jun-Hua Xiao, Long-Bin Zhang High Performance General-Purpose Microprocessors: Past and Future. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high performance general-purpose microprocessor, Godson processor, chip multiprocessors, instruction level parallelism, thread level parallelism, data level parallelism
9Tilman Wolf, Mark A. Franklin Performance Models for Network Processor Design. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Network processor design, network processor benchmark, performance model, power optimization, design optimization
9Wenjian Yu, Mengsheng Zhang, Zeyi Wang Efficient 3-D extraction of interconnect capacitance considering floating metal fills with boundary element method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ilya Ganusov, Martin Burtscher Future execution: A prefetching mechanism that uses multiple cores to speed up single threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Future execution, chip multiprocessors, prefetching, memory wall
9Philip M. Wells, Koushik Chakraborty, Gurindar S. Sohi Hardware support for spin management in overcommitted virtual machines. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF virtual machines, chip multiprocessors, synchronization overhead
9Ilya Ganusov, Martin Burtscher Efficient emulation of hardware prefetchers via event-driven helper threading. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF prefetching, multi-core architectures, helper threading
9Kyriakos Stavrou, Pedro Trancoso Thermal-Aware Scheduling: A Solution for Future Chip Multiprocessors Thermal Problems. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kyle J. Nesbit, Nidhi Aggarwal, James Laudon, James E. Smith 0001 Fair Queuing Memory Systems. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jack Sampson, Rubén González, Jean-Francois Collard, Norman P. Jouppi, Michael S. Schlansker, Brad Calder Exploiting Fine-Grained Data Parallelism with Chip Multiprocessors and Fast Barriers. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Haakon Dybdahl, Per Stenström, Lasse Natvig A Cache-Partitioning Aware Replacement Policy for Chip Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Nabil Hasasneh, Ian M. Bell, Chris R. Jesshope Scalable and Partitionable Asynchronous Arbiter for Micro-threaded Chip Multiprocessors. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Stanley L. C. Fung, J. Gregory Steffan Improving cache locality for thread-level speculation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Matthew Curtis-Maury, James Dzierwa, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Online strategies for high-performance power-aware thread execution on emerging multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jeffrey Namkung, Dohyung Kim, Rajesh K. Gupta 0001, Igor Kozintsev, Jean-Yves Bouguet, Carole Dulong Phase guided sampling for efficient parallel application simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF phase analysis, simulation, sampling, chip multiprocessors, multithreading
9Blair Fort, Davor Capalija, Zvonko G. Vranesic, Stephen Dean Brown A Multithreaded Soft Processor for SoPC Area Reduction. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9David J. Frank, Ruchir Puri, Dorel Toma Design and CAD challenges in 45nm CMOS and beyond. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Guy Amit, Yaron Caspi, Ran Vitale, Adi Pinhas Scalability of Multimedia Applications on Next-Generation Processors. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jie Tao 0001, Siegfried Schloissnig, Wolfgang Karl Analysis of the Spatial and Temporal Locality in Data Accesses. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ozcan Ozturk 0001, Guangyu Chen, Mahmut T. Kandemir Multi-compilation: capturing interactions among concurrently-executing applications. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-compilation, compiler, chip multiprocessor
9In-Pyo Hong, Yong-Joo Lee, Yong-Surk Lee Next Generation Embedded Processor Architecture for Personal Information Devices. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Xiaoning Qi, Alex Gyure, Yansheng Luo, Sam C. Lo, Mahmoud Shahram, Kishore Singhal Measurement and characterization of pattern dependent process variations of interconnect resistance, capacitance and inductance in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, process variations, extraction, VLSI interconnects
9Peter E. Strazdins, Richard Alexander, David Barr Performance Enhancement of SMP Clusters with Multiple Network Interfaces Using Virtualization. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Mario Donato Marino L2-Cache Hierarchical Organizations for Multi-core Architectures. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ajay Khoche, Peter Muhmenthaler Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Arun Rodrigues, Richard C. Murphy, Peter M. Kogge, Keith D. Underwood Poster reception - The structural simulation toolkit: exploring novel architectures. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Shabbir H. Batterywala, Rohit Ananthakrishna, Yansheng Luo, Alex Gyure A Statistical Method for Fast and Accurate Capacitance Extraction in the Presence of Floating Dummy Fills. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Steven Swanson, Andrew Putnam, Martha Mercaldi, Ken Michelson, Andrew Petersen 0001, Andrew Schwerin, Mark Oskin, Susan J. Eggers Area-Performance Trade-offs in Tiled Dataflow Architectures. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF WaveScalar, ASIC, RTL, Dataflow computing
9Weidong Shi, Hsien-Hsin S. Lee, Laura Falk, Mrinmoy Ghosh An Integrated Framework for Dependable and Revivable Architectures Using Multicore Processors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Lei Chai, Albert Hartono, Dhabaleswar K. Panda 0001 Designing High Performance and Scalable MPI Intra-node Communication Support for Clusters. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Xianlong Hong, Yici Cai, Hailong Yao, Duo Li DFM-aware Routing for Yield Enhancement. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9James Laudon Performance/Watt: the new server focus. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Lisa R. Hsu, Ravishankar R. Iyer 0001, Srihari Makineni, Steven K. Reinhardt, Donald Newell Exploring the cache design space for large scale CMPs. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Peter G. Sassone, D. Scott Wills Scaling Up the Atlas Chip-Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic multithreading, chip-multiprocessor, scaling
9Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky, Yuhong Zheng Compressible area fill synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Austen McDonald, JaeWoong Chung, Hassan Chafi, Chi Cao Minh, Brian D. Carlstrom, Lance Hammond, Christos Kozyrakis, Kunle Olukotun Characterization of TCC on Chip-Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ilya Ganusov, Martin Burtscher Future Execution: A Hardware Prefetching Technique for Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Bruce E. Martin Uncovering Database Access Optimizations in the Middle Tier with TORPEDO. Search on Bibsonomy ICDE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Factory: An Object-Oriented Parallel Programming Substrate for Deep Multiprocessors. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreading substrate, Object-oriented parallel programming, Deep parallel architectures, Multiparadigm parallelism, Portability, Programmability
9Yan Solihin, Fei Guo, Seongbeom Kim Predicting Cache Space Contention in Utility Computing Servers. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Chun Liu 0001, Anand Sivasubramaniam, Mahmut T. Kandemir, Mary Jane Irwin Exploiting Barriers to Optimize Power Consumption of CMPs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jaydeep Marathe, Frank Mueller 0001, Bronis R. de Supinski A hybrid hardware/software approach to efficiently determine cache coherence Bottlenecks. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SMPs, program instrumentation, coherence protocols, hardware performance monitoring, cache analysis, dynamic binary rewriting
9N. S. Nagaraj Dealing with interconnect process variations. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Katherine Shu-Min Li, Chung-Len Lee 0001, Yao-Wen Chang, Chauchin Su, Jwu E. Chen Multilevel full-chip routing with testability and yield enhancement. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multilevel routing, yield, testability
9Anahita Shayesteh, Eren Kursun, Timothy Sherwood, Suleyman Sair, Glenn Reinman Reducing the Latency and Area Cost of Core Swapping through Shared Helper Engines. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Srinivas Raghvendra, Philippe Hurat DFM: Linking Design and Manufacturing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Murali Annavaram, Ed Grochowski, John Paul Shen Mitigating Amdahl's Law through EPI Throttling. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Evan Speight, Hazim Shafi, Lixin Zhang 0002, Ramakrishnan Rajamony Adaptive Mechanisms and Policies for Managing Cache Hierarchies in Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ram Rangan, Neil Vachharajani, Manish Vachharajani, David I. August Decoupled Software Pipelining with the Synchronization Array. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Yu-Sung Wu, Saurabh Bagchi, Sachin Garg, Navjot Singh 0001, Timothy K. Tsai SCIDIVE: A Stateful and Cross Protocol Intrusion Detection Architecture for Voice-over-IP Environments. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Voice over IP system, Cross-protocol detection, Stateful detection, Intrusion detection, SIP, RTP
9Ibrahim Hur, Calvin Lin Adaptive History-Based Memory Schedulers. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Rakesh Kumar 0002, Norman P. Jouppi, Dean M. Tullsen Conjoined-Core Chip Multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Mladen Nikitovic, Mats Brorsson A Multiprogrammed Workload Model for Energy and Performance Estimation of Adaptive Chip-Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky, Yuhong Zheng Area Fill Generation With Inherent Data Volume Reduction. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Khaled Z. Ibrahim, Gregory T. Byrd Extending OpenMP to Support Slipstream Execution Mode. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF slipstream, redundant execution, multiprocessor, shared memory, OpenMP, dynamic scheduling
9Magnus Ekman, Per Stenström Performance and Power Impact of Issue-width in Chip-Multiprocessor Cores. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Michael K. Chen, Kunle Olukotun The Jrpm System for Dynamically Parallelizing Java Programs. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Mohamed A. Gomaa, Chad Scarbrough, Irith Pomeranz, T. N. Vijaykumar Transient-Fault Recovery for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Chi-Foon Chan, Deirdre Hanford, Jian Yue Pan, Narendra V. Shenoy, Mahesh Mehendale, A. Vasudevan, Shaojun Wei Emerging markets: design goes global. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Yu Chen 0005, Puneet Gupta 0001, Andrew B. Kahng Performance-impact limited area fill synthesis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI manufacturability, coupling capacitance extraction, dummy fill problem, signal delay, linear programming, greedy method
9Rebecka Jörnsten, Bin Yu 0001 Compression of cDNA microarray images. Search on Bibsonomy ISBI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky Closing the smoothness and uniformity gap in area fill synthesis. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI manufacturability, density analysis, dummy fill problem, monte-carlo, chemical-mechanical polishing
9Chitaka Iwama, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Improving Conditional Branch Prediction on Speculative Multithreading Architectures. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Ana Hunter, C. K. Lau, John Martin Combining Advanced Process Technology and Design for Systems Level Integration. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Ignacio Silva-Lepe, Christopher F. Codella, P. Niblett, Donald F. Ferguson Container-Managed Messaging: An Architecture for Integrating Java Components and Message-Oriented Applications. Search on Bibsonomy TOOLS (37) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF container-managed messaging, Java components, message-oriented applications, messaging infrastructure, container-managed persistence, persistent data, messaging parameters, message destinations, time-outs, declarative definition, deployment descriptor, anonymous declarative programming model, Java, software architecture, software architecture, object-oriented programming, subroutines, distributed object management, Enterprise JavaBeans, persistent objects, electronic messaging, interaction mode
9Marcelo H. Cintra, José F. Martínez, Josep Torrellas Architectural support for scalable speculative parallelization in shared-memory multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Luiz André Barroso, Kourosh Gharachorloo, Robert McNamara, Andreas Nowatzyk, Shaz Qadeer, Barton Sano, Scott Smith, Robert Stets, Ben Verghese Piranha: a scalable architecture based on single-chip multiprocessing. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Venkata Krishnan, Josep Torrellas The Need for Fast Communication in Hardware-Based Speculative Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF register communication, Chip-multiprocessor, speculative multithreading, data-dependence speculation
9Andrew B. Kahng, Gabriel Robins, Anish Singh, Huijuan Wang, Alexander Zelikovsky Filling and slotting: analysis and algorithms. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9A. Castillejo, D. Veychard, Salvador Mir, Jean-Michel Karam, Bernard Courtois Failure mechanisms and fault classes for CMOS-compatible microelectromechanical systems. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Jean-Michel Karam, Bernard Courtois, Hicham Boutamine CAD Tools for Bridging Microsystems and Foundries. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Jean-Michel Karam, Bernard Courtois, Hicham Boutamine, P. Drake, András Poppe, Vladimír Székely, Márta Rencz, Klaus Hofmann, Manfred Glesner CAD and Foundries for Microsystems. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #792 of 792 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license