The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase D-latch (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1989 (15) 1990-1993 (16) 1994-1995 (21) 1996-1997 (20) 1998-1999 (32) 2000 (16) 2001 (19) 2002 (28) 2003 (34) 2004 (32) 2005 (36) 2006 (44) 2007 (40) 2008 (31) 2009 (29) 2010 (25) 2011 (26) 2012 (22) 2013 (23) 2014 (18) 2015 (24) 2016 (29) 2017 (32) 2018 (42) 2019 (34) 2020 (34) 2021 (34) 2022 (35) 2023 (52) 2024 (16)
Publication types (Num. hits)
article(312) incollection(1) inproceedings(546)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 382 occurrences of 260 keywords

Results
Found 861 publication records. Showing 859 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16M. J. Foster Avoiding Latch Formation in Regular Expression Recognizers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Anthony Correale Design Considerations of a Static LSSD Polarity Hold Latch Pair. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
16José C. Barros, Brian W. Johnson Equivalence of the Arbiter, the Synchronizer, the Latch, and the Inertial Delay. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
16Donald B. Estreich, Robert W. Dutton Modeling Latch-Up in CMOS Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
16Paul M. Almy, Jose L. Rivero Using error latch trace to obtain diagnostic information. Search on Bibsonomy DAC The full citation details ... 1981 DBLP  BibTeX  RDF
16Kenneth S. Gray Cross-Coupled Charge-Transfer Sense Amplifier and Latch Sense Scheme for High-Density FET Memories. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
11Graham Schelle, Jamison D. Collins, Ethan Schuchman, Perry H. Wang, Xiang Zou, Gautham N. Chinya, Ralf Plate, Thorsten Mattner, Franz Olbrich, Per Hammarlund, Ronak Singhal, Jim Brayton, Sebastian Steibl, Hong Wang 0003 Intel nehalem processor core made FPGA synthesizable. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intel nehalem, synthesizable core, fpga, emulator
11Jiaoyan Chen, Dilip P. Vasudevan, Emanuel M. Popovici, Michel P. Schellekens Reversible online BIST using bidirectional BILBO. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bilbo, bist, testing, reversible logic
11Ying-Chieh Liu 0001, Su-Ju Lu An Investigation of Function Based Design Considering Affordances in Conceptual Design of Mechanical Movement. Search on Bibsonomy HCI (17) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF function based design, mechanical movement, engineering design process, affordances, conceptual design
11Jeremy R. Tolbert, Xin Zhao 0001, Sung Kyu Lim, Saibal Mukhopadhyay Slew-aware clock tree design for reliable subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slew, clocks, subthreshold
11Samed Maltabas, Martin Margala, Ugur Çilingiroglu Varicap threshold logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF minnick tl network, variable capacitance, threshold logic, parallel counter
11Savithri Sundareswaran, Rajendran Panda, Jacob A. Abraham, Yun Zhang, Amit Mittal Characterization of sequential cells for constraint sensitivities. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Hai Yu, Michael Nicolaidis, Lorena Anghel An effective approach to detect logic soft errors in digital circuits based on GRAAL. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11V. Vireen, N. Venugopalachary, G. Seetharaman, B. Venkataramani Built in Self Test Based Design of Wave-Pipelined Circuits in ASICs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Fei Qiao, Huazhong Yang, Gang Huang, Hui Wang 0004 Implementation of low-swing differential interface circuits for high-speed on-chip asynchronous interconnection. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-swing interface, differential signaling, tapered-buffer, interconnect, asynchronous circuit, low power circuit
11Charu Nagpal, Rajesh Garg, Sunil P. Khatri A Delay-efficient Radiation-hard Digital Design Approach Using CWSP Elements. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Hamed Abrishami, Safar Hatami, Massoud Pedram Characterization and design of sequential circuit elements to combat soft error. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki Safe clocking register assignment in datapath synthesis. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jawar Singh, Jimson Mathew, Dhiraj K. Pradhan, Saraju P. Mohanty A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Vikas Chandra, Robert C. Aitken Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Joseph F. Ryan 0002, Benton H. Calhoun Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-Threshold Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sub-threshold Circuits, Sub-Vt, Sense-Amplifiers, Variation, Offset
11Charbel J. Akl, Magdy A. Bayoumi Wiring-Area Efficient Simultaneous Bidirectional Point-to-Point Link for Inter-Block On-Chip Signaling. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Montek Singh, Steven M. Nowick MOUSETRAP: High-Speed Transition-Signaling Asynchronous Pipelines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Love Kothari, Nicholas P. Carter Architecture of a Self-Checkpointing Microprocessor that Incorporates Nanomagnetic Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory technologies, low-power design, Emerging technologies
11Julien Lamoureux, Steven J. E. Wilton Clock-Aware Placement for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jeni McIntosh-Elkins, Karen McRitchie, Maureen Scoones From the silent generation to generation x, y and z: strategies for managing the generation mix. Search on Bibsonomy SIGUCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF baby boomers, generation mix, generation x, generation y, staff management, student management
11Yan Lin 0001, Lei He 0001 Device and architecture concurrent optimization for FPGA transient soft error rate. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Álvaro Vázquez, Elisardo Antelo, Paolo Montuschi A radix-10 SRT divider based on alternative BCD codings. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Man Kay Law, Amine Bermak A CMOS Image Sensor using Variable Reference Time Domain Encoding. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Sandeep Patil, Michael Wieckowski, Martin Margala A Self-Biased Charge-Transfer Sense Amplifier. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Soon-Ik Cho, Suki Kim, Shin-Il Lim, Kwang-Hyun Baek A 6-bit 2.5GSample/s Flash ADC using Immanent C2MOS Comparator in 0.18um CMOS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jere A. M. Järvinen, Mikko Saukoski, Kari Halonen A 12-bit Ratio-Independent Algorithmic ADC for a Capacitive Sensor Interface. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Liang Wang 0024, Suge Yue, Yuanfu Zhao, Long Fan An SEU-Tolerant Programmable Frequency Divider. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Roystein Oliveira, Aditya Jagirdar, Tapan J. Chakraborty A TMR Scheme for SEU Mitigation in Scan Flip-Flops. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ming Zhang 0017, Subhasish Mitra, T. M. Mak, Norbert Seifert, Nicholas J. Wang, Quan Shi, Kee Sup Kim, Naresh R. Shanbhag, Sanjay J. Patel Sequential Element Design With Built-In Soft Error Resilience. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chen Kong Teh, Mototsugu Hamada, Tetsuya Fujita, Hiroyuki Hara, N. Ikumi, Yukihito Oowaki Conditional Data Mapping Flip-Flops for Low-Power and High-Performance Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jordi Cortadella, Alex Kondratyev, Luciano Lavagno, Christos P. Sotiriou Desynchronization: Synthesis of Asynchronous Circuits From Synchronous Specifications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chuan-Yu Cho, Sheng-Kai Chang, Jia-Shung Wang A Multiframe Motion Estimation Architecture for H.264/AVC. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Eslam Yahya, Marc Renaudin QDI Latches Characteristics and Asynchronous Linear-Pipeline Performance Analysis. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Tomohiro Yoshihara, Dai Kobayashi, Ryo Taguchi, Haruo Yokota A Concurrency Control Method for Parallel Btree Structures. Search on Bibsonomy ICDE Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Dmitri B. Strukov, Konstantin K. Likharev A reconfigurable architecture for hybrid CMOS/Nanodevice circuits. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF integrated hybrid circuits, architecture, programmable logic, nanoelectronics, programmable interconnect
11Garrett S. Rose, Mircea R. Stan A programmable majority logic array using molecular scale electronics. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mark R. Greenstreet, Jihong Ren Surfing Interconnect. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Julien Lamoureux, Steven J. E. Wilton Architecture and CAD for FPGA Clock Networks. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Todd M. Austin Razor: a low-power pipeline based on circuit-level timing speculation. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Haque Mohammad Munirul, Michitaka Kameyama Fine-Grain Cell Design for Multiple-Valued Reconfigurable VLSI Using a Single Differential-Pair Circuit. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Akira Mochizuki, Takeshi Kitamura, Hirokatsu Shirahama, Takahiro Hanyu Design of a Microprocessor Datapath Using Four-Valued Differential-Pair Circuits. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Reza Molavi, Shahriar Mirabbasi, Resve A. Saleh A high-speed low-energy dynamic PLA using an input-isolation scheme. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Dongsheng Ma Automatic substrate switching circuit for on-chip adaptive power supply system. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yasutaka Haga, Richard C. S. Morling, Izzet Kale A new bulk-driven input stage design for sub 1-volt CMOS op-amps. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Rui Gong, Wei Chen 0009, Fang Liu 0002, Kui Dai, Zhiying Wang 0003 Modified Triple Modular Redundancy Structure based on Asynchronous Circuit Technique. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11S. M. Rezaul Hasan A Novel 16-bit CMOS Digitally Controlled Oscillator. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Fatemeh Aezinia, Ali Afzali-Kusha, Caro Lucas Optimizing High Speed Flip-Flop Using Genetic Algorithm. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A micropower low-voltage multiplier with reduced spurious switching. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Robert B. Reese, Mitchell A. Thornton, Cherrice Traver A Coarse-Grain Phased Logic CPU. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous, pipelined processor, Automatic synthesis, self-timed, micropipelines
11Gang Luo 0001, Jeffrey F. Naughton, Curt J. Ellmann, Michael Watzke Locking Protocols for Materialized Aggregate Join Views. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Swarup Bhunia, Hamid Mahmoodi-Meimand, Arijit Raychowdhury, Kaushik Roy 0001 A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin DVS for On-Chip Bus Designs Based on Timing Error Correction. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Duarte Lopes de Oliveira, Marius Strum, Jiang Chau Wang Miriã_SI: a tool for the synthesis of speed-independent multi burst-mode controllers. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF burst-mode, automatic synthesis, hazard, asynchronous logic, speed-independent
11Shyh-Jye Jou, Chih-Hsien Lin, Yen-I Wang A 12.5 Gbps CMOS input sampler for serial link receiver front end. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Dinh Hung Dang, Yvon Savaria, Mohamad Sawan A novel approach for implementing ultra-high speed flash ADC using MCML circuits. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11David Levacq, Vincent Dessard, Denis Flandre Ultra-low power flip-flops for MTCMOS circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang Low-voltage micropower multipliers with reduced spurious switching. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Javier A. Salcedo, Juin J. Liou, Muhammad Yaqub Afridi, Allen R. Hefner Novel electrostatic discharge protection structure for a monolithic gas sensor systems-on-a-chip. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Trent McConaghy, Georges G. E. Gielen IBMG: interpretable behavioral model generator for nonlinear analog circuits via canonical form functions and genetic programming. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Harmander Deogun, Dennis Sylvester, David T. Blaauw Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yi-Shing Chang, Sreejit Chakravarty, Hiep Hoang, Nick Thorpe, Khen Wee Transition Tests for High Performance Microprocessors. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Lukás Sekanina, Ricardo Salem Zebulum Evolutionary Discovering of the Concept of the Discrete State at the Transistor Level. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Aliakbar Ghadiri, Hamid Mahmoodi-Meimand Dual-Edge Triggered Static Pulsed Flip-Flops. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Chua-Chin Wang, Yih-Long Tseng, Hon-Yuan Leo, Ron Hu A 4-kB 500-MHz 4-T CMOS SRAM using low-VTHN bitline drivers and high-VTHP latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jing-Ling Yang, Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun A high-efficiency strongly self-checking asynchronous datapath. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Allan Hartstein, Thomas R. Puzak The optimum pipeline depth considering both power and performance. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Pipeline Depth, Power and Performance, Workload Specificity, Simulation
11Jing-Ling Yang, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun Pipelines in Dynamic Dual-Rail Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Delong Shang, Frank P. Burns, Alexandre V. Bystrov, Albert Koelmans, Danil Sokolov, Alexandre Yakovlev A Low and Balanced Power Implementation of the AES Security Mechanism Using Self-Timed Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Abdel Ejnioui, Abdelhalim Alsharqawi Pipeline-Level Control of Self-Resetting Pipelines. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ivan Blunno, Jordi Cortadella, Alex Kondratyev, Luciano Lavagno, Kelvin Lwin, Christos P. Sotiriou Handshake Protocols for De-Synchronization. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Chirayu S. Amin, Florentin Dartu, Yehea I. Ismail Modeling unbuffered latches for timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Omid Mirmotahari, Yngvar Berg A Systolic Parallel Multiplier over GF(3m) Using Neuron-MOS DLC. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Swarup Bhunia, Hamid Mahmoodi-Meimand, Arijit Raychowdhury, Kaushik Roy 0001 First Level Hold: A Novel Low-Overhead Delay Fault Testing Technique. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Madhur Khandelwal, Andruid Kerne, J. Michael Mistrot Manipulating history in generative hypermedia. Search on Bibsonomy Hypertext The full citation details ... 2004 DBLP  DOI  BibTeX  RDF generative, history, non-linear, time travel
11Todd M. Austin Designing robust microarchitectures. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer system design, reliable microarchitecture design, low-power, microarchitecture, system-on-a-chip
11Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, statistical timing analysis
11Hai Zhou 0001 Timing Verification with Crosstalk for Transparently Latched Circuits. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev R. Rao, Toan Pham, Conrad H. Ziesler, David T. Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Allan Hartstein, Thomas R. Puzak Optimum Power/Performance Pipeline Depth. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Aristides Efthymiou, Jim D. Garside Adaptive Pipeline Structures fo Speculation Control. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Kenneth S. Stevens Energy and Performance Models for Clocked and Asynchronous Communication. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Alessandro Girardi, Fernando da Rocha Paixão Cortes, Eric E. Fabris, Sergio Bampi Analog IC Modules Design Using Trapezoidal Association of MOS Transistors in 0.35µm Technology. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Fujio Ishihara, Farhana Sheikh, Borivoje Nikolic Level conversion for dual-supply systems. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF level conversion, flip-flop, dual-supply voltage
11Hing-mo Lam, Chi-Ying Tsui High performance and low power completion detection circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Chien-Chung Chua, Bah-Hwee Gwee, Joseph Sylvester Chang A low-voltage micropower asynchronous multiplier for a multiplierless FIR filter. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Kuo-Hsing Cheng, Yang-Han Lee, Wei-Chun Chang A new robust handshake for asymmetric asynchronous micro-pipelines. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Kuo-Hsing Cheng, Yung-Hsiang Lin A dual-pulse-clock double edge triggered flip-flop for low voltage and high speed application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Frank Grassert, Dirk Timmermann Dynamic single-rail self-timed logic structures for power efficient synchronous pipelined designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF redundant numbers, self-timed logic, single-rail logic, low power, dynamic logic
11Ching-Hwa Cheng Design Scan Test Strategy for Single Phase Dynamic Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jing-Ling Yang, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun Design for Self-Checking and Self-Timed Datapath. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF asynchronous datapath, differential cascode voltage switch logic, Self-checking, dynamic circuits
11Miguel Garvie, Adrian Thompson Evolution of Combinatonial and Sequential On-Line Self-Diagnosing Hardware. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Sangyong Hwang, Keunjoo Kwon, Sang Kyun Cha, Byung Suk Lee 0001 Performance Evaluation of Main-Memory R-tree Variants. Search on Bibsonomy SSTD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Kuo-Hsing Cheng, Wei-Chun Chang, Chia Ming Tu A Robust Handshake for Asynchronous System. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Wei-Yu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test Generation for Crosstalk-Induced Faults: Framework and Computational Results. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF time-based test generation, fault modeling, crosstalk, mixed-signal test
Displaying result #701 - #800 of 859 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license