The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPGA"( http://dblp.L3S.de/Venues/FPGA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1995 (25) 1996 (23) 1997 (24) 1998 (49) 1999 (57) 2000 (41) 2001 (25) 2002 (27) 2003 (53) 2004 (68) 2005 (65) 2006 (53) 2007 (27) 2008 (47) 2009 (65) 2010 (67) 2011 (62) 2012 (57) 2013 (71) 2014 (70) 2015 (84) 2016 (68) 2017 (63) 2018 (62) 2019 (95) 2020 (85) 2021 (51) 2022 (39) 2023 (51) 2024 (44)
Publication types (Num. hits)
inproceedings(1588) proceedings(30)
Venues (Conferences, Journals, ...)
FPGA(1618)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1086 occurrences of 496 keywords

Results
Found 1618 publication records. Showing 1618 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Qingshan Tang, Matthieu Tuna, Habib Mehrez Future inter-FPGA communication architecture for multi-FPGA based prototyping (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Karim M. Abdellatif, Roselyne Chotin-Avot, Zied Marrakchi, Habib Mehrez, Qingshan Tang Towards high performance GHASH for pipelined AES-GCM using FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Viktor Pus, Pavel Benácek Application specific processor with high level synthesized instructions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yosi Ben-Asher, Jacob Gendel, Gadi Haber, Oren Segal, Yousef Shajrawi 1K manycore FPGA shared memory architecture for SOC (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ahmad Alzahrani 0001, Ronald F. DeMara Non-adaptive sparse recovery and fault evasion using disjunct design configurations (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammed Alawad, Yu Bai 0004, Ronald F. DeMara, Mingjie Lin Energy-efficient multiplier-less discrete convolver through probabilistic domain transformation. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wenyi Feng, Jonathan W. Greene, Kristofer Vorwerk, Val Pevzner, Arun Kundu Rent's rule based FPGA packing for routability optimization. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Santhosh Kumar Rethinagiri, Oscar Palomar, Adrián Cristal, Osman S. Unsal Power estimation tool for system on programmable chip based platforms (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ameer Abdelhadi, Guy G. F. Lemieux Modular multi-ported SRAM-based memories. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Roshan Silwal, Mohammed Y. Niamat Asynchronous physical unclonable function using FPGA-based self-timed ring oscillator (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Brad L. Hutchings, Joshua S. Monson, Danny Savory, Jared Keeley A power side-channel-based digital to analog converterfor Xilinx FPGAs. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peng Li 0031, Louis-Noël Pouchet, Deming Chen, Jason Cong Transformations for throughput optimization in high-level synthesis (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jason Cong, Bingjun Xiao Defect recovery in nanodevice-based programmable interconnects (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jungwook Choi, Rob A. Rutenbar Video-rate stereo matching using markov random field TRW-S inference on a hybrid CPU+FPGA computing platform. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Masakazu Hioki, Toshihiro Sekigawa, Tadashi Nakagawa, Hanpei Koike, Yohei Matsumoto, Takashi Kawanami, Toshiyuki Tsutsumi Fully-functional FPGA prototype with fine-grain programmable body biasing. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Peng Chen 0004, Chao Wang 0003, Xi Li 0003, Xuehai Zhou Acceleration of the long read mapping on a PC-FPGA architecture (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bruno da Silva 0001, An Braeken, Erik H. D'Hollander, Abdellah Touhafi, Jan G. Cornelis, Jan Lemeire Performance and toolchain of a combined GPU/FPGA desktop (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jinsong Mao, Hao Zhou, Haijiang Ye, Jinmei Lai FPGA bitstream compression and decompression using LZ and golomb coding (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Soon Ee Ong, Siaw Chen Lee, Noohul Basheer Zain Ali Hardware implemented real-time operating system (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hasan Baig, Jeong-A Lee A novel run-time auto-reconfigurable FPGA architecture for fast fault recovery with backward compatibility (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pavel Zemcík, Roman Juránek, Petr Musil, Martin Musil, Michal Hradis High performance architecture for object detection in streamed video (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eric S. Chung, Michael Papamichael, Gabriel Weisz, James C. Hoe Cross-platform FPGA accelerator development using CoRAM and CONNECT. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Meng Yang 0013, Jiarong Tong, A. E. A. Almaini Indirect connection aware attraction for FPGA clustering (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jason Helge Anderson, Stephen Dean Brown, Andrew Canis, Jongsok Choi High-level synthesis with LegUp: a crash course for users and researchers. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Martin Langhammer, Bogdan Pasca 0001 Faithful single-precision floating-point tangent for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Marc-André Daigneault, Jean-Pierre David Hardware description and synthesis of control-intensive reconfigurable dataflow architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Udit Dhawan, André DeHon Area-efficient near-associative memories on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nick Ni, Yi Peng Co-simulation framework of SystemC SoC virtual prototype and custom logic (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gabriel Weisz, James C. Hoe C-to-CoRAM: compiling perfect loop nests to the portable CoRAM abstraction. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1David Boland, George A. Constantinides Word-length optimization beyond straight line code. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chia-Hsiang Chen, Shiming Song, Zhengya Zhang An FPGA-based transient error simulator for evaluating resilient system designs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jing Zhou, Lei Chen 0010, Shuo Wang Precision fault injection method based on correspondence between configuration bitstream and architecture (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yun Qu, Viktor K. Prasanna Scalable high-throughput architecture for large balanced tree structures on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chao Wang 0003, Xi Li 0003, Xuehai Zhou, Jim Martin 0001, Ray C. C. Cheung Genome sequencing using mapreduce on FPGA with multiple hardware accelerators (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qian Zhao 0001, Kazuki Inoue, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi A novel FPGA design framework with VLSI post-routing performance analysis (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yu Bai 0004, Abigail Fuentes-Rivera, Mingjie Lin, Mike Riera Exploiting algorithmic-level memory parallelism in distributed logic-memory architecture through hardware-assisted dynamic graph (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1David Uliana, Krzysztof Kepa, Peter Athanas FPGA-based HPC application design for non-experts (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Seyyed Ahmad Razavi, Morteza Saheb Zamani Improving bitstream compression by modifying FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Andrew Love, Peter Athanas FPGA meta-data management system for accelerating implementation time with incremental compilation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuxin Wang, Peng Li 0031, Peng Zhang 0007, Chen Zhang 0001, Jason Cong Automatic multidimensional memory partitioning for FPGA-based accelerators (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michel A. Kinsy, Michael Pellauer, Srinivas Devadas Heracles: a tool for fast RTL-based design space exploration of multicore processors. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sheng Wei 0001, Jason Xin Zheng, Miodrag Potkonjak Low power FPGA design using post-silicon device aging (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eric S. Chung, Michael Papamichael Towards automatic customization of interconnect and memory in the CoRAM abstraction (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Satoshi Jo, Amir Masoud Gharehbaghi, Takeshi Matsumoto, Masahiro Fujita Rectification of advanced microprocessors without changing routing on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bailey Miller, Frank Vahid, Tony Givargis Embedding-based placement of processing element networks on FPGAs for physical model simulation. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kenneth M. Zick, Meeta Srivastav, Wei Zhang 0044, Matthew French Sensing nanosecond-scale voltage attacks and natural transients in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sezer Gören 0001, Yusuf Turk, Ozgur Ozkurt, Abdullah Yildiz, H. Fatih Ugurdag Achieving modular dynamic partial reconfiguration with a difference-based flow (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nathaniel McVicar, Walter L. Ruzzo, Scott Hauck Accelerating ncRNA homology search with FPGAs. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wei Zuo, Yun Liang 0001, Peng Li 0031, Kyle Rupnow, Deming Chen, Jason Cong Improving high level synthesis optimization opportunity through polyhedral transformations. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chun Zhu, Qiuli Li, Jian Wang 0036, Jinmei Lai A novel multithread routing method for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christos Kyrkou, Christos-Savvas Bouganis, Theocharis Theocharides FPGA-based acceleration of cascaded support vector machines for embedded applications (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michael J. Wirthlin, Joshua E. Jensen, Alex Wilson, William Howes, Shi-Jie Wen, Rick Wong Placement of repair circuits for in-field FPGA repair. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Deshanand P. Singh, Tomasz S. Czajkowski, Andrew C. Ling Harnessing the power of FPGAs using altera's OpenCL compiler. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vivek Venugopal, Devu Manikantan Shila Hardware acceleration of TEA and XTEA algorithms on FPGA, GPU and multi-core processors (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1André DeHon Location, location, location: the role of spatial locality in asymptotic energy minimization. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Stephen Neuendorffer, Fernando Martinez-Vallina Building zynq® accelerators with Vivado® high level synthesis. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xinyu Niu, Thomas C. P. Chau, Qiwei Jin, Wayne Luk, Qiang Liu 0011 Automating resource optimisation in reconfigurable design (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Swapnil Haria, Viktor K. Prasanna AutoMapper: an automated tool for optimal hardware resource allocation for networking applications on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Brad L. Hutchings, Vaughn Betz (eds.) The 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA '13, Monterey, CA, USA, February 11-13, 2013 Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ruediger Willenberg, Paul Chow A remote memory access infrastructure for global address space programming models in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wenjuan Deng, Yiqun Zhu A memory-efficient hardware architecture for real-time feature detection of the SIFT algorithm (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jason Cong, Karthik Gururaj Architecture support for custom instructions with memory operations. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hadi Parandeh-Afshar, Grace Zgheib, David Novo, Madhura Purnaprajna, Paolo Ienne Shadow AICs: reaping the benefits of and-inverter cones with minimal architectural impact (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Amir Moradi 0001, David F. Oswald, Christof Paar, Pawel Swierczynski Side-channel attacks on the bitstream encryption mechanism of Altera Stratix II: facilitating black-box analysis using software reverse-engineering. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hao Wang, Jyh-Charn Liu An FPGA based parallel architecture for music melody matching. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jeremy Fowers, Greg Stitt Dynafuse: dynamic dependence analysis for FPGA pipeline fusion and locality optimizations. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Benjamin Gojman, Sirisha Nalmela, Nikil Mehta, Nicholas Howarth, André DeHon GROK-LAB: generating real on-chip knowledge for intra-cluster delays using timing extraction. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zilong Wang, Sitao Huang, Lanjun Wang, Hao Li, Yu Wang 0002, Huazhong Yang Accelerating subsequence similarity search based on dynamic time warping distance with FPGA. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Peter Grossmann, Miriam Leeser, Marvin Onabajo Minimum energy operation for clustered island-style FPGAs. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuanjie Huang, Paolo Ienne, Olivier Temam, Yunji Chen, Chengyong Wu Elastic CGRAs. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Oluseyi A. Ayorinde, Benton H. Calhoun Circuit optimizations to minimize energy in the global interconnect of a low-power-FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jingfei Jiang, Rongdong Hu, Mikel Luján Effect of fixed-point arithmetic on deep belief networks (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Louis-Noël Pouchet, Peng Zhang 0007, P. Sadayappan, Jason Cong Polyhedral-based data reuse optimization for configurable computing. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Da Tong, Lu Sun, Kiran Kumar Matam, Viktor K. Prasanna High throughput and programmable online trafficclassifier on FPGA. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1David M. Lewis, David Cashman, Mark Chan, Jeffrey Chromczak, Gary Lai, Andy Lee, Tim Vanderhoek, Haiming Yu Architectural enhancements in Stratix V™. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Anh-Tuan Hoang, Takeshi Fujino Hybrid masking using intra-masking dual-rail memory on LUT for SCA-Resistant AES implementation on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Patrick Cooke, Jeremy Fowers, Lee Hunt, Greg Stitt A high-performance, low-energy FPGA accelerator for correntropy-based feature tracking (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vaughn Betz, Jason Cong Are FPGAs suffering from the innovator's dilemna? Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eddie Hung, Steven J. E. Wilton Towards simulator-like observability for FPGAs: a virtual overlay network for trace-buffers. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Trevor Bunker, Steven Swanson A latency-optimized hybrid network for clustering FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chao Wang 0003, Xi Li 0003, Huizhen Zhang, Jinsong Ji, Xuehai Zhou Custom instruction generation and mapping for reconfigurable instruction set processors (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sai Rahul Chalamalasetti, Kevin T. Lim, Mitch Wright, Alvin AuYoung, Parthasarathy Ranganathan, Martin Margala An FPGA memcached appliance. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jason Cong, Muhuan Huang, Peng Zhang 0007 Efficient system-level mapping from streaming applications to FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hui Yan Cheah, Suhaib A. Fahmy, Douglas L. Maskell, Chidamber Kulkarni A lean FPGA soft processor built using a DSP block. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hugo A. Andrade, Arkadeb Ghosal, Rhishikesh Limaye, Sadia Malik, Newton Petersen, Kaushik Ravindran, Trung N. Tran, Guoqiang Wang, Guang Yang Early timing estimation for system-level design using FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Minxi Jin, Tsutomu Maruyama A real-time stereo vision system using a tree-structured dynamic programming on FPGA. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Scott Bailie, Miriam Leeser Incremental clustering applied to radar deinterleaving: a parameterized FPGA implementation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Charles Eric LaForest, Ming G. Liu, Emma Rae Rapati, J. Gregory Steffan Multi-ported memories for FPGAs via XOR. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Matthias Hinkfoth, Enrico Heinrich, Sebastian Vorköper, Volker Kühn 0001, Ralf Salomon X-ORCA: FPGA-based wireless localization in the sub-millimeter range. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhiduo Liu, Aaron Severance, Satnam Singh, Guy G. F. Lemieux Accelerator compiler for the VENICE vector processor. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason Xin Zheng, Miodrag Potkonjak Securing netlist-level FPGA design through exploiting process variation and degradation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Robert Kirchgessner, Greg Stitt, Alan D. George, Herman Lam VirtualRC: a virtual FPGA platform for applications and tools portability. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Berkin Akin, Peter A. Milder, Franz Franchetti, James C. Hoe Algorithm and architecture optimization for large size two dimensional discrete fourier transform (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mei Wen, Nan Wu 0003, Qianming Yang, Chunyuan Zhang, Liang Zhao The masala machine: accelerating thread-intensive and explicit memory management programs with dynamically reconfigurable FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kermin Elliott Fleming, Michael Adler, Michael Pellauer, Angshuman Parashar, Arvind, Joel S. Emer Leveraging latency-insensitivity to ease multiple FPGA design. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jonathan Rose, Jason Luu, Chi Wai Yu, Opal Densmore, Jeffrey Goeders, Andrew Somerville, Kenneth B. Kent, Peter Jamieson, Jason Helge Anderson The VTR project: architecture and CAD for FPGAs from verilog to routing. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Stefan Hadjis, Andrew Canis, Jason Helge Anderson, Jongsok Choi, Kevin Nam, Stephen Dean Brown, Tomasz S. Czajkowski Impact of FPGA architecture on resource sharing in high-level synthesis. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Boland, George A. Constantinides A scalable approach for automated precision analysis. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sameh W. Asaad, Ralph Bellofatto, Bernard Brezzo, Chuck Haymes, Mohit Kapur, Benjamin D. Parker, Thomas Roewer, Proshanta Saha, Todd Takken, José A. Tierno A cycle-accurate, cycle-reproducible multi-FPGA system for accelerating multi-core processor simulation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Maysam Lavasani, Larry Dennison, Derek Chiou Compiling high throughput network processors. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1618 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license