The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SOC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1937-1972 (75) 1973 (84) 1974 (82) 1975 (87) 1976 (94) 1977 (77) 1978 (77) 1979 (98) 1980 (99) 1981 (119) 1982 (165) 1983 (91) 1984 (92) 1985 (92) 1986 (134) 1987 (124) 1988 (134) 1989 (54) 1990 (143) 1991 (149) 1992 (123) 1993 (116) 1994 (145) 1995 (183) 1996 (255) 1997 (257) 1998 (625) 1999 (719) 2000 (907) 2001 (775) 2002 (931) 2003 (1159) 2004 (1255) 2005 (1415) 2006 (1554) 2007 (1575) 2008 (1473) 2009 (1448) 2010 (1445) 2011 (1742) 2012 (1741) 2013 (1771) 2014 (1645) 2015 (1937) 2016 (1970) 2017 (1932) 2018 (2081) 2019 (1946) 2020 (2112) 2021 (2419) 2022 (2556) 2023 (2870) 2024 (970)
Publication types (Num. hits)
article(37376) book(6) data(5) incollection(72) inproceedings(8546) phdthesis(37) proceedings(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3961 occurrences of 1777 keywords

Results
Found 46124 publication records. Showing 46122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Luca Larcher, Paolo Pavan, Alfonso Maurelli Flash Memories for SoC: An Overview on System Constraints and Technology Issues, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Holger Blume, Thorsten von Sydow, Tobias G. Noll Performance Analysis of SoC Communication by Application of Deterministic and Stochastic Petri Nets. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Pierre G. Paulin, Chuck Pilkington, Essaid Bensoudane, Michel Langevin, Damien Lyonnard Application of a Multi-Processor SoC Platform to High-Speed Packet Forwarding. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Nikolaos D. Liveris, Prithviraj Banerjee Power Aware Interface Synthesis for Bus-Based SoC Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Krisztián Flautner, David Flynn, David Roberts, Dipesh I. Patel IEM926: An Energy Efficient SoC with Dynamic Voltage Scaling. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Dan Hillman Using Mobilize Power Management IP for Dynamic & Static Power Reduction in SoC at 130 nm. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Mohamed-Anouar Dziri, Wander O. Cesário, Flávio Rech Wagner, Ahmed Amine Jerraya Unified Component Integration Flow for Multi-Processor SoC Design and Validation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Sungjoo Yoo, Mohamed-Wassim Youssef, Aimen Bouchhima, Ahmed Amine Jerraya, Mario Diaz-Nava Multi-Processor SoC Design Methodology Using a Concept of Two-Layer Hardware-Dependent Software. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Wei-Lun Wang March Based Memory Core Test Scheduling for SOC. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Mark Holland, Scott Hauck Automatic Creation of Reconfigurable PALs/PLAs for SoC. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Antonio Andrade Jr., Érika F. Cota, Marcelo Lubaszewski Improving mixed-signal SOC testing: a power-aware reuse-based approach with analog BIST. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF system-on-chip, BIST, power aware, mixed-signal test
22Pierre G. Paulin, Chuck Pilkington, Michel Langevin, Essaid Bensoudane, Gabriela Nicolescu Parallel programming models for a multi-processor SoC platform applied to high-speed traffic management. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF system-on-chip, embedded software, multi-processor systems
22Adriano Sarmento, Wander O. Cesário, Ahmed Amine Jerraya Automatic Building of Executable Models from Abstract SoC Architectures Made of Heterogeneous Subsystems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Javier Vicente, Juan Miguel García-Gómez, César Vidal, Luis Martí-Bonmatí, Aurora del Arco, Montserrat Robles SOC: A Distributed Decision Support Architecture for Clinical Diagnosis. Search on Bibsonomy ISBMDA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh A Scalable Communication-Centric SoC Interconnect Architecture. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF switch-based interconnect, butterfly fat-tree, global wire delay, System on chip, interconnect architecture, timing closure
22Lane Albanese Managing Derivative SoC Design Projects to Better Results. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jitendra Khare Memory Yield Improvement - SoC Design Perspective. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Yervant Zorian Investment vs. Yield Relationship for Memories in SOC. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22K. Nikila, Rubin A. Parekhji DFT for Test Optimisations in a Complex Mixed-Signal SOC - Case Study on TI's TNETD7300 ADSL Modem Device. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ramalingam Sridhar System-on-Chip (SoC): Clocking and Synchronization Issues. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jörg Henkel Closing the SoC Design Gap. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ozgur Sinanoglu, Alex Orailoglu Compacting Test Responses for Deeply Embedded SoC Cores. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Md. Saffat Quasem, Zhigang Jiang, Sandeep K. Gupta 0001 Benefits of a SoC-Specific Test Methodology. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22M. Addino, Mario R. Casu, Guido Masera, Gianluca Piccinini, Maurizio Zamboni A Block-Based Approach for SoC Global Interconnect Electrical Parameters Characterization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22François Rémond, Pierre Bricaud Set Top Box SoC Design Methodology at STMicroelectronics. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Sungjoo Yoo, Ahmed Amine Jerraya Introduction to Hardware Abstraction Layers for SoC. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Gordon J. Brebner Eccentric SoC Architectures as the Future Norm. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Yervant Zorian Leveraging Infrastructure IP for SoC Yield. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22James O. Hamblen Using an FPGA-based SOC Approach for Senior Design Projects. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou SoC design integration by using automatic interconnection rectification. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Mama Hamour, Resve A. Saleh, Shahriar Mirabbasi, André Ivanov Analog IP design flow for SoC applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Andreas Wieferink, Tim Kogel, Achim Nohl, Andreas Hoffmann 0002 Generic Tool-Set for SoC Mulitiprocessor Debugging and Synchronization. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Shervin Sharifi, Mohammad Hosseinabady, Pedram A. Riahi, Zainalabedin Navabi Reducing Test Power, Time and Data Volume in SoC Testing Using Selective Trigger Scan Architecture. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ming-Dou Ker, Jeng-Jie Peng, Hsin-Chin Jiang Active Device under Bond Pad to Save I/O Layout for High-pin-count SOC. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Mohammad H. Tehranipour, Nisar Ahmed, Mehrdad Nourani Testing SoC Interconnects for Signal Integrity Using Boundary Scan. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Integrity Loss Sensor, System-on-Chip Interconnects, Data Compression, Boundary Scan, Signal Integrity
22Mohsen Nahvi, André Ivanov An Embedded Autonomous Scan-Based Results Analyzer (EARA) for SoC Cores. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Qiang Xu 0001, Nicola Nicolici On Reducing Wrapper Boundary Register Cells in Modular SOC Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Chris Rowen Reducing SoC Simulation and Development Time. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Sandeep Koranne Formulating SoC test scheduling as a network transportation problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Maik Boden, Jörg Schneider, Klaus Feske, Steffen Rülke Enhanced Reusability for SoC-Based HW/SW Co-Design. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Yu Huang 0005, Sudhakar M. Reddy, Wu-Tung Cheng Core - Clustering Based SOC Test Scheduling Optimization. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Sunghyun Lee, Sungjoo Yoo, Kiyoung Choi Reconfigurable SoC design with hierarchical FSM and synchronous dataflow model. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Sazzadur Chowdhury, Majid Ahmadi, Graham A. Jullien, William C. Miller A MEMS socket system for high density SoC interconnection. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Charlie Chung-Ping Chen, Ed Cheng Future SoC Design Challenges and Solutions (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Yu Huang 0005, Sudhakar M. Reddy, Wu-Tung Cheng, Paul Reuter, Nilanjan Mukherjee 0001, Chien-Chung Tsai, Omer Samman, Yahya Zaidan Optimal Core Wrapper Width Selection and SOC Test Scheduling Based on 3-D Bin Packing Algorithm. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Mohammad H. Tehranipour, Mehrdad Nourani Signal Integrity Loss in SoC's Interconnects: A Diagnosis Approach Using Embedded Microprocessor. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Embedded Microprocessor, Integrity Loss, System-on-Chip, Diagnosis, Test Pattern Generation, Signal Integrity, Interconnect Testing, Noise Detection
22Yu Huang 0005, Nilanjan Mukherjee 0001, Chien-Chung Tsai, Omer Samman, Yahya Zaidan, Yanping Zhang, Wu-Tung Cheng, Sudhakar M. Reddy Constraint Driven Pin Mapping for Concurrent SOC Testing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Dongxiao Li, Qingdong Yao, Peng Liu 0016, Li Zhou A bus arbitration scheme for HDTV decoder SoC. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Yervant Zorian Embedding infrastructure IP for SOC yield improvement. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded test & repair, semiconductor IP, yield optimization, test resource partitioning
22Kyeong Keol Ryu, Eung S. Shin, Vincent John Mooney III A Comparison of Five Different Multiprocessor SoC Bus Architectures. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Reiner W. Hartenstein Reconfigurable Computing: A New Business Model and its Impact on SoC Design. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou An AVPG for SOC design verification with port order fault model. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Ashok Halambi, Radu Cornea, Peter Grun, Nikil D. Dutt, Alexandru Nicolau Architecture Exploration of Parameterizable EPIC SOC Architectures. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre Test data compression and TAM design. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Davide Pandini, Giuseppe Desoli, Alessandro Cremonesi Computing and design for software and silicon manufacturing. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chin-Cheng Kuo, Chien-Nan Jimmy Liu On Efficient Behavioral Modeling to Accurately Predict Supply Noise Effects of PLL Designs in Real Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Laurent Fesquet, Bertrand Folco, M. Steiner, Marc Renaudin State-holding in Look-Up Tables: application to asynchronous logic. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Srinivasan Murali, Paolo Meloni, Federico Angiolini, David Atienza, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Wagston T. Staehler, Eduardo A. Berriel, Altamiro Amadeu Susin, Sergio Bampi Architecture of an HDTV Intraframe Predictor for a H.264 Decoder. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sujan Pandey, Nurten Utlu, Manfred Glesner Tabu Search Based On-Chip Communication Bus Synthesis for Shared Multi-Bus Based Architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Abdelmajid Bouajila, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf, Andreas Bernauer, Oliver Bringmann 0001, Wolfgang Rosenstiel Organic Computing at the System on Chip Level. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Shixin Chen, Su Zheng, Chen Bai, Wenqian Zhao, Shuo Yin, Yang Bai, Bei Yu 0001 SoC-Tuner: An Importance-guided Exploration Framework for DNN-targeting SoC Design. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Hsun-Wei Cho, Kang G. Shin Unify: Turning BLE/FSK SoC into WiFi SoC. Search on Bibsonomy MobiCom The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Shibo Tang, Xingxin Wang, Yifei Gao, Wei Hu 0008 Accelerating SoC Security Verification and Vulnerability Detection Through Symbolic Execution. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Tian Feng, Haojie Pei, Zhou Jin 0001, Xiao Wu A survey and perspective on electronic design automation tools for ensuring SoC security. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Wen-Hsin Tsai, Kuei-Ann Wen SoC Design for Mobile Real-time Badminton Stroke Classification Design. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Syed Muhammad Abubakar, Hanjun Jiang, Yue Yin, Jiahua Shi, Xiaofeng Yang, Wen Jia, Zhihua Wang 0001 A 1.92 μA Always-on ECG Monitoring Mixed-Signal SoC for Implantable Medical Application. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Xingxin Wang, Shibo Tang, Wei Hu 0008 Towards Automatic Property Generation for SoC Security Verification. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Antonio Genov Power estimation framework based on SystemC-TLM performance models of SoC interconnect and memory systems. (Estimation de la consommation basée sur les modèles de performance SystemC-TLM des systèmes d'interconnexion et de mémoire des SoC). Search on Bibsonomy 2021   RDF
21Tuy Nguyen Tan, Phap Duong-Ngoc, Thang Xuan Pham, Hanho Lee Novel Performance Evaluation Approach of AMBA AXI-Based SoC Design. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Jinshan Yue, Wenyu Sun, Huazhong Yang, Yongpan Liu Challenges and Opportunities of Energy-Efficient CIM SoC Design for Edge AI Devices. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Ronaldo Serrano, Marco Sarmiento, Ckristian Duran, Khai-Duy Nguyen, Trong-Thuc Hoang, Koichiro Ishibashi, Cong-Kha Pham A Low-Power Low-Area SoC based in RISC-V Processor for IoT Applications. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Marco Pagani Enabling Predictable Hardware Acceleration in Heterogeneous SoC-FPGA Computing Platforms. (Techniques pour l'amélioration de la prévisibilité de l'accélération matérielle pour les plateformes informatiques hétérogènes SoC-FPGA). Search on Bibsonomy 2020   RDF
21Van Loi Le, Taegeun Yoo, Ju Eon Kim, Kwang-Hyun Baek, Tony Tae-Hyoung Kim A Low-Power Smart Gesture Sensing SoC with On-chip Image Sensor for Smart Devices. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Minji Lee, Changseok Choi, Donghyeon Seo, Byeongjun Bang, Yongseok Kang, Woohyun Paik Improving Analysis Coverage for Dynamic IR Drop Sign-off in FinFET SoC Design. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Junyoung Kim, Changsun Ahn Rapid Optimization of Battery Charging- Discharging Profiles Using SOC-SOC Rate Domain for Cruising Hybrid Vehicles. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Krishnendu Guha, Debasri Saha, Amlan Chakrabarti Stigmergy-Based Security for SoC Operations From Runtime Performance Degradation of SoC Components. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Amal Ben Ameur Transactional simulation approach for modelling performance and energy of a heterogeneous SoC memory system. (Approche de simulation transactionnelle pour la modélisation des performances et de l'énergie d'un système mémoire pour SoC hétérogènes). Search on Bibsonomy 2019   RDF
21Chabha Hireche Etude et implémentation sur SoC-FPGA d'une méthode probabiliste pour le contrôle de mission de véhicule autonome. (Study and implementation on SoC-FPGA of a probabilistic method for mission planning in autonomous vehicle). Search on Bibsonomy 2019   RDF
21Prokash Ghosh, Rohit Srivastava Case Study: SoC Performance Verification and Static Verification of RTL Parameters. Search on Bibsonomy MTV The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Mohammad Amir Mansoori, Mario R. Casu HLS-Based Flexible Hardware Accelerator for PCA Algorithm on a Low-Cost ZYNQ SoC. Search on Bibsonomy NORCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Hyeonguk Jang, Kyuseung Han, Sukho Lee, Jae-Jin Lee Supporting Serial Interfaces on Virtual SoC Platforms to Develop Sensor Applications. Search on Bibsonomy ISOCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Manas Ranjan Meher, Wolfgang Ullmann An Innovative I/O Budgeting Methodology for Hierarchical SoC Development. Search on Bibsonomy ISOCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Ines Baccouche, Sabeur Jemmali, Asma Mlayah, Bilal Manai, Najoua Essoukri Ben Amara Implementation of an Improved Coulomb-Counting Algorithm Based on a Piecewise SOC-OCV Relationship for SOC Estimation of Li-IonBattery. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
21Jie Tang 0003, Bo Yu 0014, Shaoshan Liu, Zhe Zhang 0006, Weikang Fang, Yanjun Zhang π-SoC: Heterogeneous SoC Architecture for Visual Inertial SLAM Applications. Search on Bibsonomy IROS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Pratheema Mohandoss, Archana Rengaraj Pre-Silicon DFT Verification on SOC Slim Model. Search on Bibsonomy MTV The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Sameh El-Ashry, Ahmed Adel Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functional Coverage. Search on Bibsonomy MTV The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Luis Cavo, Sebastien Fuhrmann, Liang Liu 0002 Implementation of an Area Efficient Crypto Processor for a NB-IoT SoC Platform. Search on Bibsonomy NORCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Erwin Setiawan, Trio Adiono, Syifaul Fuada PHY Layer Design of OFDM-VLC System based on SoC using Reuse Methodology. Search on Bibsonomy ISOCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Jill C. Mayeda, Donald Y. C. Lie, Jerry Lopez A 24-28GHz Reconfigurable CMOS Power Amplifier in 22nm FD-SOI for Intelligent SoC Applications. Search on Bibsonomy ISOCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Erwin Setiawan, Trio Adiono Implementation of Systolic Co-processor for Deep Neural Network Inference based on SoC. Search on Bibsonomy ISOCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Minseo Kim, Jaeeun Jang, Hyunki Kim, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyoung-Rog Lee, Kwantae Kim, Yongsu Lee, Kyuho Jason Lee, Hoi-Jun Yoo A 1.4-m $\Omega$ -Sensitivity 94-dB Dynamic-Range Electrical Impedance Tomography SoC and 48-Channel Hub-SoC for 3-D Lung Ventilation Monitoring System. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Minseo Kim, Hyunki Kim, Jaeeun Jang, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyungrog Lee, Kwantae Kim, Yongsu Lee, Hoi-Jun Yoo 21.2 A 1.4mΩ-sensitivity 94dB-dynamic-range electrical impedance tomography SoC and 48-channel Hub SoC for 3D lung ventilation monitoring system. Search on Bibsonomy ISSCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Sara Zermani Implémentation sur SoC des réseaux Bayésiens pour l'état de santé et la décision dans le cadre de missions de véhicules autonomes. (SoC implementation of Bayesian networks for health management and decision making for autonomous vehicles missions). Search on Bibsonomy 2017   RDF
21Anand Raman, Yorgos Koutsoyannopoulos, Magdy Abadir Electromagnetic (EM) Crosstalk Failures and Symptoms in SoC Designs. Search on Bibsonomy MTV The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Trio Adiono, Angga Pratama Putra Hardware/software model of DCO-OFDM based visible light communication SoC using DMA. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Byungki Han, Jongwoo Lee, Seunghyun Oh, Jae-Kwon Kim, Eswar Mamidala, Thomas Byunghak Cho A 14nm FinFET analog baseband SOC for multi-mode cellular applications with tri-band carrier aggregation. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Yonatan Shoshan, Slava Yuzhaninov, Noa Edri, Shay Harari, Yehuda Rudin, Yoav Weizman, Itai Nadler, Nir Rosenberg, Benjamin Flom, Dotan Bechor, Gilad Morag, Evgeny Grigoriants, Naftaly Blum, Ronen Daly, Maya Reuveni, Alexander Fish A SoC platform for emerging technologies. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Hyoung-Ro Lee, Chi-Ho Lin, Ki-Hyuk Park, Won-Jong Kim, Han-Jin Cho Development of SoC virtual platform for IoT terminals based on OneM2M. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 46122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license