The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for inductance with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1995 (15) 1996-1998 (22) 1999 (21) 2000 (23) 2001 (35) 2002 (54) 2003 (49) 2004 (48) 2005 (50) 2006 (61) 2007 (37) 2008 (32) 2009 (19) 2010-2011 (29) 2012 (17) 2013 (22) 2014 (23) 2015 (18) 2016 (29) 2017 (30) 2018 (42) 2019 (42) 2020 (35) 2021 (42) 2022 (39) 2023 (39) 2024 (16)
Publication types (Num. hits)
article(396) incollection(1) inproceedings(490) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 243 occurrences of 146 keywords

Results
Found 889 publication records. Showing 889 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Michael W. Beattie, Lawrence T. Pileggi Efficient inductance extraction via windowing. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Tom Chen 0001 On the impact of on-chip inductance on signal nets under the influence of power grid noise. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Minqing Liu, Tiejun Yu, Wayne Wei-Ming Dai Fast 3-D Inductance Extraction in Lossy Multi-Layer Substrate. Search on Bibsonomy ICCAD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Haitian Hu, Sachin S. Sapatnekar Circuit-aware on-chip inductance extraction. Search on Bibsonomy CICC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Yi-Chang Lu, Kaustav Banerjee, Mustafa Celik, Robert W. Dutton A fast analytical technique for estimating the bounds of on-chip clock wire inductance. Search on Bibsonomy CICC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Min Xu, Lei He 0001 An efficient model for frequency-dependent on-chip inductance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Tak Young On-Chip Inductance Extraction and Modeling. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Yi-Chang Lu, Mustafa Celik, Tak Young, Lawrence T. Pileggi Min/max On-Chip Inductance Models and Delay Metrics. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Michael W. Beattie, Lawrence T. Pileggi Inductance 101: Modeling and Extraction. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Kaushik Gala, David T. Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao 0001 Inductance 101: Analysis and Design Issues. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Kaustav Banerjee, Amit Mehrotra Analysis of On-Chip Inductance Effects using a Novel Performance Optimization Methodology for Distributed RLC Interconnects. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Li-Fu Chang, Keh-Jeng Chang, Robert Mathews Simulating frequency-dependent current distribution for inductance modeling of on-chip copper interconnects. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cladding material, copper interconnect, electromagnetic field solvers, skin-effect current distribution
16Anirudh Devgan, Hao Ji, Wayne Wei-Ming Dai How to Efficiently Capture On-Chip Inductance Effects: Introducing a New Circuit Element K. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Xiaoning Qi, Gaofeng Wang, Zhiping Yu, Robert W. Dutton, Tak Young, Norman Chang On-chip inductance modeling and RLC extraction of VLSI interconnects for circuit simulation. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Denis Deschacht, Grégory Servel, Fabrice Huret, Erick Paleczny, Patrick Kennis Theoretical limits for signal reflections due to inductance for on-chip interconnections. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Yehea I. Ismail, Eby G. Friedman Sensitivity of interconnect delay to on-chip inductance. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Chandramouli V. Kashyap, Byron Krauter A realizable driving point model for on-chip interconnect with inductance. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
16Tadeusz Skubis, Andrzej Met, Marian Kampik A bridge for maintenance of inductance standard. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Grégory Servel, L. B. Kenmei, Fabrice Huret, Erick Paleczny, Patrick Kennis, Denis Deschacht Inductance effect for interconnection timing analysis in submicronic circuits. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Lei He 0001, Norman Chang, Shen Lin, O. Sam Nakagawa An efficient inductance modeling for on-chip interconnects. Search on Bibsonomy CICC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Kenneth L. Shepard, Zhong Tian Return-limited inductances: a practical approach to on-chip inductance extraction. Search on Bibsonomy CICC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Arani Sinha, Salim Chowdhury Mesh-structured on-chip power/ground: design for minimum inductance and characterization for fast R, L extraction. Search on Bibsonomy CICC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Phillip J. Restle, Albert E. Ruehli, Steven G. Walker Dealing with Inductance in High-Speed Chip Design. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Yehea I. Ismail, Eby G. Friedman Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Henry Shu-Hung Chung, S. Y. Ron Hui, W. H. Wang An isolated ZVS/ZCS flyback converter using the leakage inductance of the coupled inductor. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Zhijiang He, Lawrence T. Pileggi A simple algorithm for calculating frequency-dependent inductance bounds. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Byron Krauter, Sharad Mehrotra Layout Based Frequency Dependent Inductance and Resistance Extraction for On-Chip Interconnect Timing Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF congestion, global routing, quadratic placement, routing models, supply-demand, relaxed pins
16Robert A. Groves, David L. Harame, Dale Jadus Temperature dependence of Q and inductance in spiral inductors fabricated in a silicon-germanium/BiCMOS technology. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Kevin P. Cohen, William M. Ladd, David M. Beams, William S. Sheers, Robert G. Radwin, Willis J. Tompkins, John G. Webster Comparison of impedance and inductance ventilation sensors on adults during breathing, motion, and simulated airway obstruction. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Sandip Kundu, Uttam Ghoshal Inductance analysis of on-chip interconnects [deep submicron CMOS]. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Tomás Dostál Synthesis of Switched-Capacitor Inductance Simulation Circuits. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Liviu Goras, Cornelia Marcuta On linear inductance- and capacitance-time conversions using NIC-type configurations. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Mattan Kamon, Michael J. Tsuk, Jacob White 0001 FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16James N. Humenik, James M. Oberschmidt, Leon L. Wu, Scott G. Paull Low-inductance decoupling capacitor for the thermal conduction modules of the IBM Enterprise System/9000 processors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Mattan Kamon, Michael J. Tsuk, C. Smithhisler, Jacob K. White 0001 Efficient techniques for inductance extraction of complex 3-D geometries. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16H. Craig Miller Inductance formula for a single-layer circular coil. Search on Bibsonomy Proc. IEEE The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Richard Lundin A handbook formula for the inductance of a single-layer circular coil. Search on Bibsonomy Proc. IEEE The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
10Vinayak Honkote, Baris Taskin PEEC based parasitic modeling for power analysis on custom rotary rings. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF resonant clocking, simulation, modeling, interconnect
10Tarek A. El-Moselhy, Luca Daniel Stochastic dominant singular vectors method for variation-aware extraction. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intrusive algorithms, stochastic PDEs, stochastic dominant singular vectors, variation-aware extraction, stochastic simulation, integral equations, surface roughness, parasitic extraction
10Yoonjung Yang, Gilsoo Cho Novel Stretchable Textile-Based Transmission Bands: Electrical Performance and Appearance after Abrasion/Laundering, and Wearability. Search on Bibsonomy HCI (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stretchable textile-based transmission band, silicon-coated stainless steel multifilament yarn, abrasion, laundering, electrical resistance, MP3 player jacket, wear sensation, image analysis
10Wanping Zhang, Wenjian Yu, Xiang Hu, Amirali Shayan Arani, A. Ege Engin, Chung-Kuan Cheng Predicting the worst-case voltage violation in a 3D power network. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF worst case violation prediction, integer linear programming, leakage, clock gating, power networks
10Nima Hosseini, Hooman Nabovati New geometry for improving Q-factor of spiral integrated inductor on low cost integrated circuit process. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Prashant Singh, Jae-sun Seo, David T. Blaauw, Dennis Sylvester Self-Timed Regenerators for High-Speed and Low-Power On-Chip Global Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mikhail Popovich, Eby G. Friedman, Michael Sotman, Avinoam Kolodny On-Chip Power Distribution Grids With Multiple Supply Voltages for High-Performance Integrated Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sampo Tuuna, Li-Rong Zheng 0001, Jouni Isoaho, Hannu Tenhunen Modeling of On-Chip Bus Switching Current and Its Impact on Noise in Power Supply Grid. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10DiaaEldin Khalil, Yehea I. Ismail A global interconnect link design for many-core microprocessors. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, link, bus, repeater insertion
10Amit Goel, Sarma B. K. Vrudhula Current source based standard cell model for accurate signal integrity and timing analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Tuck Boon Chan, Hsinchia Lu, Jun-Kuei Zeng, Charlie Chung-Ping Chen LTCC spiral inductor modeling, synthesis, and optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Massimo Alioto, Massimo Poli, Gaetano Palumbo Explicit energy evaluation in RLC tree circuits with ramp inputs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Wen-Chieh Wang, Chang-Ping Liao, Yi-Kai Lo, Zue-Der Huang, Fadi Riad Shahroury, Chung-Yu Wu The design of integrated 3-GHz to 11-GHz CMOS transmitter for full-band ultra-wideband (UWB) applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Hailin Jiang, Malgorzata Marek-Sadowska Power gating scheduling for power/ground noise reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scheduling, power gating, power supply noise
10James D. Ma, Rob A. Rutenbar Interval-Valued Reduced-Order Statistical Interconnect Modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Martin Saint-Laurent A Model for Interlevel Coupling Noise in Multilevel Interconnect Structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Zhengyong Zhu, He Peng, Chung-Kuan Cheng, Khosro Rouz, Manjit Borah, Ernest S. Kuh Two-Stage Newton-Raphson Method for Transistor-Level Simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Sanjay Pant, Eli Chiprout, David T. Blaauw Power Grid Physics and Implications for CAD. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF R, L(di/dt), decap, locality, resonance, power supply networks
10Arthur Nieuwoudt, Mosin Mondal, Yehia Massoud Predicting the Performance and Reliability of Carbon Nanotube Bundles for On-Chip Interconnect. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Mehmet Zeki Bilgin A Sensorless Initial Rotor Position Sensing Using Neural Network for Direct Torque Controlled Permanent Magnet Synchronous Motor Drive. Search on Bibsonomy ICANNGA (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Area Efficient Bus Encoding Technique for Minimizing Simultaneous Switching Noise (SSN). Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Philippe Artillan, Bruno Estibals, Alain Salles, Jean Abboud, Pierre Aloisi, Corinne Alonso A PEEC approach for circular spiral inductive components modeling. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jeffrey S. Walling, David J. Allstot Monolithic Spiral Transformers: A Design Methodology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jonathan Rosenfeld, Eby G. Friedman Quasi-Resonant Interconnects: A Low Power Design Methodology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Fan Yang 0001, Xuan Zeng 0001, Yangfeng Su, Dian Zhou RLCSYN: RLC Equivalent Circuit Synthesis for Structure-Preserved Reduced-order Model of Interconnect. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Burak Kelleci, Edgar Sánchez-Sinencio, Aydin I. Karsilayan THD+Noise Estimation in Class-D Amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Arthur Nieuwoudt, Yehia Massoud Assessing the Implications of Process Variations on Future Carbon Nanotube Bundle Interconnect Solutions. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jae-sun Seo, Prashant Singh, Dennis Sylvester, David T. Blaauw Self-Time Regenerators for High-Speed and Low-Power Interconnect. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Zhanyuan Jiang, Shiyan Hu, Jiang Hu, Weiping Shi An Efficient Algorithm for RLC Buffer Insertion. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Zhenyu Qi, Hao Yu 0001, Pu Liu, Sheldon X.-D. Tan, Lei He 0001 Wideband passive multiport model order reduction and realization of RLCM circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kanak Agarwal, Dennis Sylvester, David T. Blaauw Modeling and analysis of crosstalk noise in coupled RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10James D. Ma, Rob A. Rutenbar Fast Interval-Valued Statistical Modeling of Interconnect and Effective Capacitance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Non-gaussian statistical interconnect timing analysis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Akira Tsuchiya, Masanori Hashimoto, Hidetoshi Onodera Interconnect RL extraction at a single representative frequency. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Brock J. LaMeres, Kanupriya Gulati, Sunil P. Khatri Controlling inductive cross-talk and power in off-chip buses using CODECs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ya-Chi Yang, Cheng-Kok Koh, Venkataramanan Balakrishnan Adaptive admittance-based conductor meshing for interconnect analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Trong-Yen Lee, Yang-Hsin Fan, Chia-Chun Tsai Reduction of RLC Tree Delay Using Bidirectional Buffer Repeater Insertion. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Nicola Diolaiti, Günter Niemeyer Wave Haptics: Providing Stiff Coupling to Virtual Environments. Search on Bibsonomy HAPTICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Motor Dynamics, Wave Variables, Current
10Kenneth A. Townsend, James W. Haslett Low-power Q-enhancement for parallel LC tanks. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10S. Vatti, Christos Papavassiliou New LC oscillator topology in CMOS 0.18µm technology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10H. J. Kadim, Lacina M. Coulibaly EM-based analytical model for estimation of worst-case crosstalk noise. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10H. J. Kadim, Lacina M. Coulibaly Wave propagation based analytical model for distributed on-chip RLC interconnects. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Magnus G. J. Lind, Guy Albert Dumont, William G. Dunford Analysis of a circuit exhibiting ferroresonance. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Behzad Mesgarzadeh, Atila Alvandpour A wide-tuning range 1.8 GHz quadrature VCO utilizing coupled ring oscillators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Chia-Chun Tsai, Jan-Ou Wu, Chien-Wen Kao, Trong-Yen Lee, Rong-Shue Hsiao Coupling aware RLC-based clock routings for crosstalk minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tadashi Suetsugu, Marian K. Kazimierczuk Integration of class DE inverter for on-chip DC-DC power supplies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Xu Zhang, Xiaohong Jiang 0001, Susumu Horiguchi A non-orthogonal clock distribution network and its performance evaluation in presence of process variations and inductive effects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF H-Tree, X Architecture, performance evluation, variant X-Tree, clock distribution network
10Krishnamoorthy Natarajan, S. J. Nagalakshmi Repeater Sizing and Insertion Length of Interconnect to Minimize the Overall Time Delay using a Truncated Fourier Series Approach. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10N. Hassaïne, L. Villeneuve, Y. Shen, F. Concilio Modeling of Tape Automated Bonding (TAB) for High Performance Integrated Circuits. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Atef Shamim, Peter H. R. Popplewell, Victor Karam, Langis Roy, John W. M. Rogers, Calvin Plett Silicon Differential Antenna/Inductor for Short Range Wireless Communication Applications. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Bassel Soudan Reducing Inductive Coupling Skew in Wide Global Signal Busses. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Chia-Chieh Tu, Ching-Yuan Yang A 6.5-GHz LC VCO with Integrated-Transformer Tuning. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Shao-Hua Lee, Yun-Hsueh Chuang, Li-Ren Chi, Sheng-Lyang Jang, Jian-Feng Lee A Low-Voltage 2.4GHz VCO with 3D Helical Inductors. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sanjay Pant, Eli Chiprout Power grid physics and implications for CAD. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Ldi/dt, decap, locality, IR, resonance, power supply networks
10Monica Gentili, Pitu B. Mirchandani Locating Active Sensors on Traffic Networks. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF network covering problems, linearly independent equations, sensors, location theory, traffic networks
10Yu Cao 0001, Xuejue Huang, Dennis Sylvester, Tsu-Jae King 0001, Chenming Hu Impact of on-chip interconnect frequency-dependent R(f)L(f) on digital and RF design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Amin Q. Safarian, Ahmad Yazdi, Payam Heydari Design and analysis of an ultrawide-band distributed CMOS mixer. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Guoqing Chen, Eby G. Friedman An RLC interconnect model based on fourier analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Kai Wang 0011, Malgorzata Marek-Sadowska On-chip power-supply network optimization using multigrid-based technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Sheldon X.-D. Tan A general hierarchical circuit modeling and simulation algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hao Yu 0001, Lei He 0001, Zhenyu Qi, Sheldon X.-D. Tan A wideband hierarchical circuit reduction for massively coupled interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hongyu Chen, Chung-Kuan Cheng A multi-level transmission line network approach for multi-giga hertz clock distribution. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Kai-Sheng Lu, Xiao-Yu Feng, Guo-Zhang Gao The separability, reducibility and controllability of RLCM networks over F(z). Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 889 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license