The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnects with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1989 (19) 1990-1991 (15) 1992 (16) 1993-1994 (54) 1995 (28) 1996 (38) 1997 (32) 1998 (30) 1999 (53) 2000 (92) 2001 (101) 2002 (169) 2003 (179) 2004 (184) 2005 (242) 2006 (264) 2007 (233) 2008 (212) 2009 (148) 2010 (100) 2011 (88) 2012 (79) 2013 (98) 2014 (94) 2015 (119) 2016 (98) 2017 (105) 2018 (88) 2019 (97) 2020 (78) 2021 (77) 2022 (57) 2023 (60) 2024 (10)
Publication types (Num. hits)
article(909) book(4) incollection(4) inproceedings(2380) phdthesis(37) proceedings(23)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1863 occurrences of 889 keywords

Results
Found 3357 publication records. Showing 3357 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Tudor Murgan, Massoud Momeni, Alberto García Ortiz, Manfred Glesner A high-level compact pattern-dependent delay model for high-speed point-to-point interconnects. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jun Tao 0001, Xuan Zeng 0001, Fan Yang 0001, Yangfeng Su, Lihong Feng, Wei Cai 0003, Dian Zhou, Charles C. Chiang A one-shot projection method for interconnects with process variations. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Cristian Grecu, André Ivanov, Res Saleh, Egor S. Sogomonyan, Partha Pratim Pande On-line Fault Detection and Location for NoC Interconnects. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Ki-Young Kim, Seung-Yong Kim, Seok-Yoon Kim An Efficient Delay Metric on RC Interconnects Under Saturated Ramp Inputs. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Xiangyuan Liu, Shuming Chen Delay and Power Estimation Models of Low-Swing Interconnects for Design Planning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-swing interconnect, delay, power, estimation model
19Iñigo Artundo, Daniel Manjarres, Wim Heirman, Christof Debaes, Joni Dambre, Jan M. Van Campenhout, Hugo Thienpont Reconfigurable Interconnects in DSM Systems: A Focus on Context Switch Behavior. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection network, multiprocessors, Reconfiguration, distributed shared memory, context switch
19Yuxin Wang, D. Makadia, Martin Margala On-Chip Integrated Antennas - The First Challenge for Reliable on-Chip Wireless Interconnects. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Michael Kagan InfiniBand interconnects - Application acceleration through MPI overlap. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Hengliang Zhu, Xuan Zeng 0001, Wei Cai 0003, Dian Zhou A Spectral Stochastic Collocation Method for Capacitance Extraction of Interconnects with Process Variations. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Vinita V. Deodhar, Jeffrey A. Davis Optimization of throughput performance for low-power VLSI interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Peter Benkart, Alexander Kaiser 0002, Andreas Munding, Markus Bschorr, Hans-Jörg Pfleiderer, Erhard Kohn, Arne Heittmann, Holger Huebner, Ulrich Ramacher 3D Chip Stack Technology Using Through-Chip Interconnects. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Integrated Circuits General, General
19Simon Schneider, Ulrich Mueller, Dirk Tiegelbekkers A Reactive Workload Generation Framework for Simulation-based Performance Engineering of System Interconnects. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Natalie Nakhla, Ramachandra Achar, Michel S. Nakhla, Anestis Dounavis Delay extraction based closed-form SPICE compatible passive macromodels for distributed transmission line interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Mridul Agarwal, Kanak Agarwal, Dennis Sylvester, David T. Blaauw Statistical modeling of cross-coupling effects in VLSI interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ajoy Kumar Palit, Lei Wu, Kishore K. Duganapalli, Walter Anheier, Jürgen Schlöffel A New, Flexible and Very Accurate Crosstalk Fault Model to Analyze the Effects of Coupling Noise between the Interconnects on Signal Integrity Losses in Deep Submicron Chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF crosstalk model, aggressor-victim, ABCD-model, crosstalk-hazards, signal integrity
19Ranjit Noronha, Dhabaleswar K. Panda 0001 Performance Evaluation of MM5 on Clusters with Modern Interconnects: Scalability and Impact. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MM5, Clusters, InfiniBand, Myrinet, System Area Networks, Quadrics
19Takumi Uezono, Junpei Inoue, Takanori Kyogoku, Kenichi Okada, Kazuya Masu Prediction of delay time for future LSI using on-chip transmission line interconnects. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Alberto García Ortiz, Tudor Murgan, Mihail Petrov, Manfred Glesner A linear model for high-level delay estimation in VDSM on-chip interconnects. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Guoqing Chen, Eby G. Friedman Low power repeaters driving RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Arkadiy Morgenshtein, Israel Cidon, Ran Ginosar, Avinoam Kolodny Low-leakage repeaters for NoC interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Hao Yu 0001, Lei He 0001 A sparsified vector potential equivalent circuit model for massively coupled interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19B. Afkal, Ali Afzali-Kusha, Mahmoud El Nokali Efficient power model for crossbar interconnects. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Guoqing Chen, Hui Chen, Mikhail Haurylau, Nicholas Nelson 0001, Philippe M. Fauchet, Eby G. Friedman, David H. Albonesi Electrical and optical on-chip interconnects in scaled microprocessors. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Haixia Gao, Yintang Yang, Xiaohua Ma, Gang Dong Testing for Resistive Shorts in FPGA Interconnects. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Muzhou Shao, Youxin Gao, Li-Pen Yuan, Hung-Ming Chen, Martin D. F. Wong Current Calculation on VLSI Signal Interconnects. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Jongman Kim, Dongkook Park, Theo Theocharides, Narayanan Vijaykrishnan, Chita R. Das A low latency router supporting adaptivity for on-chip interconnects. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF networks, networks-on-chip, interconnection, adaptive routing
19Jiuxing Liu, B. Chandrasekaran 0001, Weikuan Yu, Jiesheng Wu, Darius Buntinas, Sushmitha P. Kini, Dhabaleswar K. Panda 0001, Pete Wyckoff Microbenchmark Performance Comparison of High-Speed Cluster Interconnects. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Yi Zhao, Sujit Dey, Li Chen Double sampling data checking technique: an online testing solution for multisource noise-induced errors on on-chip interconnects and buses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Atul Maheshwari, Wayne P. Burleson Differential current-sensing for on-chip interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Tom Chen 0001, Amjad Hajjar Statistical timing analysis of coupled interconnects using quadratic delay-change characteristics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Daniel A. Andersson, Lars J. Svensson, Per Larsson-Edefors On Skin Effect in On-Chip Interconnects. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Tudor Murgan, Alberto García Ortiz, Clemens Schlachta, Heiko Zimmer, Mihail Petrov, Manfred Glesner On Timing and Power Consumption in Inductively Coupled On-Chip Interconnects. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Lei Wang, Sandeep K. Gupta 0001, Melvin A. Breuer Modeling and Simulation for Crosstalk Aggravated by Weak-Bridge Defects between On-Chip Interconnects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Davide Pandini, Cristiano Forzan, Livio Baldi Design Methodologies and Architecture Solutions for High-Performance Interconnects. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Yuantao Peng, Xun Liu Power macromodeling of global interconnects considering practical repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, interconnect, macromodeling, repeater insertion
19Medha Kulkarni, Tom Chen 0001 A Sensitivity Based Approach to Analyzing Signal Delay Uncertainty of Coupled Interconnects. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Zhenghao Zhang, Yuanyuan Yang Optimal Parallel Scheduling Algorithm for WDM Optical Interconnects with Recirculating Buffering. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Yariv Aridor, Tamar Domany, Oleg Goldshmidt, Edi Shmueli, José E. Moreira, Larry Stockmeier Multi-toroidal Interconnects: Using Additional Communication Links to Improve Utilization of Parallel Computers. Search on Bibsonomy JSSPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Mehdi Baradaran Tahoori A high resolution diagnosis technique for open and short defects in FPGA interconnects. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Dinesh Pamunuwa, Shauki Elassaad, Hannu Tenhunen Analytic Modeling of Interconnects for Deep Sub-Micron Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Eun Jung Kim 0001, Ki Hwan Yum, Greg M. Link, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Mazin S. Yousif, Chita R. Das Energy optimization techniques in cluster interconnects. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF buffer design, cluster interconnect, dynamic link shutdown, link design, dynamic voltage scaling, energy optimization, switch design
19Krishna Kant 0001, Ravishankar K. Iyer Design and Performance of Compressed Interconnects for High Performance Servers. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Takayuki Watanabe, Hideki Asai Analysis of PCB interconnects using electromagnetic reduction technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Atul Maheshwari, Wayne P. Burleson Repeater and current-sensing hybrid circuits for on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnect circuits, delay, power, area
19Mehdi Baradaran Tahoori Application-Dependent Testing of FPGA Interconnects. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Chanhee Oh, David T. Blaauw, Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta Static Electromigration Analysis for Signal Interconnects. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Tom Chen 0001, Amjad Hajjar Analyzing Statistical Timing Behavior of Coupled Interconnects Using Quadratic Delay Change Characteristics. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Mehdi Baradaran Tahoori Using satisfiability in application-dependent testing of FPGA interconnects. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate array, interconnect
19Gerard V. Kopcsay, Byron Krauter, David Widiger, Alina Deutsch, Barry J. Rubin, Howard H. Smith A comprehensive 2-D inductance modeling approach for VLSI interconnects: frequency-dependent extraction and compact circuit model synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Zhong-Fang Jin, Jean-Jacques Laurin, Yvon Savaria A practical approach to model long MIS interconnects in VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Yu-Shun Guo Transient simulation of high-speed interconnects based on thesemidiscretization of Telegrapher's equations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Ankireddy Nalamalpu, Sriram Srinivasan, Wayne P. Burleson Boosters for driving long onchip interconnects - design issues, interconnect synthesis, and comparison with repeaters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Qinwei Xu, Pinaki Mazumder Formulation of Low-Order Dominant Poles for Y-Matrix of Interconnects. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Murat R. Becer, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Thierry Devoivre, M. Lunenborg, C. Julien, J.-P. Carrere, P. Ferreira, W. J. Toren, A. VandeGoor, P. Gayet, T. Berger, O. Hinsinger, P. Vannier, Y. Trouiller, Y. Rody, P.-J. Goirand, R. Palla, I. Thomas, F. Guyader, David Roy 0001, B. Borot, Nicolas Planes, Sylvie Naudet, F. Pico, D. Duca, F. Lalanne, D. Heslinga, M. Haond Validated 90nm CMOS Technology Platform with Low-k Copper Interconnects for Advanced System-on-Chip (SoC). Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Seongkyun Shin, Yungseon Eo, William R. Eisenstadt, Jongin Shim Analytical signal integrity verification models for inductance-dominant multi-coupled VLSI interconnects. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TWA, signal integrity verification, delay, crosstalk, ringing, signal integrity, transmission line, glitch, VLSI interconnect, traveling-wave
19Wendemagegnehu T. Beyene, Chuck Yuan On the Use of Windows for Accurate Analysis of Package Interconnects. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Gaofeng Wang, Xiaoning Qi, Zhiping Yu, Robert W. Dutton Accurate Model of Metal-Insulator-Semiconductor Interconnects. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Amir Attarha, Mehrdad Nourani Test Pattern Generation for Signal Integrity Faults on Long Interconnects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Yi Zhao, Li Chen, Sujit Dey On-Line Testing of Multi-Source Noise-Induced Errors on the Interconnects and Buses of System-on-Chips. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Woojin Jin, Yungseon Eo, William R. Eisenstadt, Jongin Shim Fast and accurate quasi-three-dimensional capacitance determination of multilayer VLSI interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Prashant Saxena, C. L. Liu 0001 Optimization of the maximum delay of global interconnects duringlayer assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Hüseyin Özkaramanli A comparison of strong and weak distributed transverse couplingbetween VLSI interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Wenjian Yu, Zeyi Wang An efficient quasi-multiple medium algorithm fo the capacitance extraction of actual 3-D VLSI interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Ankireddy Nalamalpu, Wayne P. Burleson Boosters for driving long on-chip interconnects: design issues, interconnect synthesis and comparison with repeaters. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF methodology, timing, interconnect, buffering
19Dinesh Pamunuwa, Hannu Tenhunen Repeater Insertion To Minimise Delay In Coupled Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Qinwei Xu, Pinaki Mazumder, Mayukh Bhattacharya Modeling of Nonuniform Interconnects by Using Differential Quadrature Method. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Zheng-Yu Yuan, Zheng-Fan Li, Min-Liu Zou Computer-aided analysis of on-chip interconnects near semiconductorsubstrate for high-speed VLSI. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Dennis W. Prather Three Dimensional VLSI-Scale Interconnects. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Chauchin Su, Shyh-Jye Jou Decentralized BIST Methodology for System Level Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interconnect, BIST, DFT, boundary scan
19Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Adaptive Fault Detection and Diagnosis of RAM Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interconnect, memory, diagnosis, detection, wiring
19Chi-Feng Wu, Cheng-Wen Wu Testing Interconnects of Dynamic Reconfigurable FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Takayuki Watanabe, Hideki Asai Efficient synthesis technique of time-domain models for interconnects having 3-D structures based on FDTD method. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi Structural diagnosis of interconnects by coloring. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF interconnect, diagnosis, graph coloring, syndrome, balanced code
19Lan Zhao, D. M. H. Walker, Fabrizio Lombardi Bridging Fault Detection in FPGA Interconnects Using IDDQ. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Chunming Qiao, Yousong Mei On the Multiplexing Degree Required to Embed Permutations in a Class of Networks with Direct Interconnects. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF permutation embedding, multiplexing degree, rearrangeably nonblocking, all-optical networksThis research is supported in part by a grant from NSF under contract MIP-9409854, routing
19Po-Ching Hsu, Sying-Jyan Wang Testing And Diagnosis Of Board Interconnects In Microprocessor-Based Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple-board system, bus emulator, wiring interconnect, testing, fault detection, diagnosis, microprocessor, printed circuit board, printed circuit testing, hierarchical testing
19Xiao-Tao Chen, Fabrizio Lombardi A coloring approach to the structural diagnosis of interconnects. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF interconnect, Diagnosis, graph coloring, syndrome
19Rohini Gupta, Byron Krauter, Lawrence T. Pileggi On Moment-Based Metric for Optimal Termination of Transmission Line Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Dong H. Xie, Michel S. Nakhla Delay and crosstalk simulation of high-speed VLSI interconnects with nonlinear terminations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19N. de Beaucoudrey, A. Bellemain, D. Phalippou, P. Chavel, D. Fortin, Iaakov Exman, Larry Rudolph, J. P. Schnell, J. P. Pocholle Optical Interconnects for Parallel Systems: Demonstration on an Optical Link with Multiple-Quantum-Well Opto-Electronic Arrays. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Angela C. Sodan, Jacob Machina, Arash Deshmeh, Kevin Macnaughton, Bryan Esbaugh Parallelism via Multithreaded and Multicore CPUs. Search on Bibsonomy Computer The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Multithreaded cores, Heterogeneous cores, Application-level parallelism, Chip interconnects, GPUs, Multicore processors, Power efficiency
15Randy Wayne Morris Jr., Avinash Karanth Kodi Power-Efficient and High-Performance Multi-level Hybrid Nanophotonic Interconnect for Multicores. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Network-on-Chip, Interconnects, Low-Power architecture, Optoelectronic
15Moustafa Mohamed, Zheng Li, Xi Chen, Li Shang, Alan Rolf Mickelson, Manish Vachharajani, Yihe Sun Power-efficient variation-aware photonic on-chip network management. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF networks on chip, optical interconnects, nanophotonics
15Valeriu Beiu, Walid Ibrahim, Rafic Z. Makki On Wires Holding a Handful of Electrons. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nano-electronics, interconnects (wires), noise (intrinsic), reliability, communication
15Yitzhak Birk, Vladimir Zdornov Improving communication-phase completion times in HPC clusters through congestion mitigation. Search on Bibsonomy SYSTOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF congestion control, interconnects, adaptive routing, congestion, InfiniBand, computer clusters
15Houman Zarrabi, Asim J. Al-Khalili, Yvon Savaria An interconnect-aware delay model for dynamic voltage scaling in NM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic voltage scaling (dvs), interconnects, delay model
15Renatas Jakushokas, Eby G. Friedman Simultaneous shield and repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF delay, interconnects, noise, power, area
15Mark J. Cianchetti, Joseph C. Kerekes, David H. Albonesi Phastlane: a rapid transit optical routing network. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection networks, multicore, optical interconnects, nanophotonics
15Amit Kumar 0002, Li-Shiuan Peh, Partha Kundu, Niraj K. Jha Toward Ideal On-Chip Communication Using Express Virtual Channels. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF packet switching, flow control, on-chip interconnects, router design
15Sarita V. Adve, David M. Brooks, Craig B. Zilles Guest Editors' Introduction: Top Picks from the Computer Architecture Conferences of 2007. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Top Picks, reliability, computer architecture, transactional memory, variability, on-chip interconnects, compiler-architecture interactions, memory system design
15Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles 0001, José Flich, José Duato FBICM: Efficient Congestion Management for High-Performance Networks Using Distributed Deterministic Routing. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Congestion Control, Distributed Routing, High-Performance Interconnects
15David H. Albonesi Standing on Solid Ground. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnects for multicore chips, special-purpose chips, debugging, human-computer interface, microarchitecture, programmability
15Pradip Bose Robust On-Chip Communication. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-performance computing, on-chip interconnects
15Nian-Feng Tzeng Routing Table Partitioning for Speedy Packet Lookups in Scalable Routers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF line cards, prefix matching search, routing table lookups, Caches, interconnects, routers, tries, forwarding engines
15Michihiro Koibuchi, Kenichiro Anjo, Yutaka Yamada, Akiya Jouraku, Hideharu Amano A Simple Data Transfer Technique Using Local Address for Networks-on-Chips. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF table-lookup routing, interconnection networks, Networks-on-chips, streaming processing, reconfigurable systems, on-chip interconnects
15Tobias Bjerregaard, Shankar Mahadevan A survey of research and practices of Network-on-chip. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Chip-area networks, GSI design, OCP, ULSI design, communication-centric design, SoC, system-on-chip, network-on-chip, interconnects, NoC, GALS, sockets, on-chip communication, communication abstractions
15Xiaoning Qi, Alex Gyure, Yansheng Luo, Sam C. Lo, Mahmoud Shahram, Kishore Singhal Measurement and characterization of pattern dependent process variations of interconnect resistance, capacitance and inductance in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, process variations, extraction, VLSI interconnects
15Hyong-youb Kim, Scott Rixner, Vijay S. Pai Network Interface Data Caching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF local interconnects, operating systems, Web servers, network interfaces
15Rajesh K. Gupta 0001 On-chip networks. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF integration, SoCs, networks on chips, on-chip interconnects
Displaying result #701 - #800 of 3357 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license