The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for layout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1971 (20) 1972-1975 (16) 1976-1977 (20) 1978-1979 (20) 1980-1981 (32) 1982 (25) 1983 (27) 1984 (37) 1985 (74) 1986 (48) 1987 (62) 1988 (82) 1989 (98) 1990 (141) 1991 (93) 1992 (87) 1993 (126) 1994 (92) 1995 (181) 1996 (154) 1997 (169) 1998 (201) 1999 (275) 2000 (248) 2001 (303) 2002 (386) 2003 (400) 2004 (490) 2005 (540) 2006 (550) 2007 (631) 2008 (574) 2009 (424) 2010 (259) 2011 (227) 2012 (227) 2013 (252) 2014 (253) 2015 (259) 2016 (265) 2017 (290) 2018 (315) 2019 (331) 2020 (351) 2021 (407) 2022 (390) 2023 (514) 2024 (110)
Publication types (Num. hits)
article(3614) book(9) data(3) incollection(68) inproceedings(7258) phdthesis(123) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(514) DAC(463) IEEE Trans. Comput. Aided Des....(412) ICCAD(186) ICDAR(166) GD(139) ASP-DAC(135) VLSI Design(115) IEEE Trans. Very Large Scale I...(113) ISPD(112) ISQED(112) ISCAS(109) DATE(102) IEEE Trans. Vis. Comput. Graph...(89) WSC(74) IEEE Trans. Computers(73) More (+10 of total 2371)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6705 occurrences of 3042 keywords

Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Qing-Lian Lin, Hu-Chen Liu, Duo-Jin Wang, Long Liu Integrating systematic layout planning with fuzzy constraint theory to design and optimize the facility layout for operating theatre in hospitals. Search on Bibsonomy J. Intell. Manuf. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Ivan W. M. Chan, Martyn Pinfold, C. K. Kwong 0001, W. H. Szeto Automation and optimisation of Family Mould Cavity and Runner Layout Design (FMCRLD) using genetic algorithms and mould layout design grammars. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Jun Wang 0001, Lu Cheng, Lizhe Wang 0001 Concentric layout, a new scientific data layout for matrix data-set in Hadoop file system. Search on Bibsonomy Int. J. Parallel Emergent Distributed Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Karuppasamy Chandrasekar, Ponnusamy Venkumar A Simulated Annealing Approach for Integrating Cell Formation with Machine Layout and Cell Layout. Search on Bibsonomy Int. J. Robotics Autom. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Rebecca M. C. Roberts, Coenrad J. Fourie Layout-to-schematic as a step towards layout-versus-schematic verification of SFQ integrated circuit layouts. Search on Bibsonomy AFRICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18V. Madhusudanan Pillai, Krishna Mohan Thazhathu Valiyaveettil Detailed Dynamic Layout Planning: An Adaptive Layout Approach. Search on Bibsonomy MIM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18István Albert, Hassan Charaf, László Lengyel Layout definition considerations for a content-driven template-based layout system. Search on Bibsonomy EUROCON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Ching-Yu Chin, Po-Cheng Pan, Hung-Ming Chen, Tung-Chieh Chen, Jou-Chun Lin Efficient analog layout prototyping by layout reuse with routing preservation. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Christine P. Tan, Congshu Zhou, Yi Tian, Chang Liu, Hein-Mun Lam, Jian Zhang, Mark Lu Design for manufacturing layout analyses correlate layout to physico-chemical yield loss mechanisms. Search on Bibsonomy CICC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Clemens Zeidler, Christof Lutteroth, Wolfgang Stürzlinger, Gerald Weber The auckland layout editor: an improved GUI layout specification process. Search on Bibsonomy UIST The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Seyedeh Sabereh Hosseini, Seyed Ali Mirzapour, Kuan Yew Wong Improving Multi-Floor Facility Layout Problems Using Systematic Layout Planning and Simulation. Search on Bibsonomy IAIT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Sonja Maier, Mark Minas Layout Improvement in Diagram Editors by Automatic Ad-hoc Layout. Search on Bibsonomy Electron. Commun. Eur. Assoc. Softw. Sci. Technol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Reinhard Koenig, Sven Schneider 0004 Hierarchical structuring of layout problems in an interactive evolutionary layout system. Search on Bibsonomy Artif. Intell. Eng. Des. Anal. Manuf. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Clemens Zeidler, Christof Lutteroth, Gerald Weber, Wolfgang Stürzlinger The Auckland layout editor: an improved GUI layout specification process. Search on Bibsonomy CHINZ The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yusuke Araki, Yuko Osana Office layout support system for polygonal space using interactive genetic algorithm - Generation of Layout Plans for Workspace -. Search on Bibsonomy SMC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Amith Singhee, Emrah Acar, Mohammad Imran Younus, Rama N. Singh, Aditya Bansal DRC-free high density layout exploration with layout morphing and patterning quality assessment, with application to SRAM. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Tadashi Yasufuku, Yasumi Nakamura, Piao Zhe, Makoto Takamiya, Takayasu Sakurai Power Supply Voltage Dependence of Within-Die Delay Variation of Regular Manual Layout and Irregular Place-and-Route Layout. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18V. Madhusudanan Pillai, Irappa Basappa Hunagund, Krishna K. Krishnan Design of robust layout for Dynamic Plant Layout Problems. Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Junzhou Huo, Wei Sun 0030, Jing Chen, Xu Zhang Disc cutters plane layout design of the full-face rock tunnel boring machine (TBM) based on different layout patterns. Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Kaname Kojima, Masao Nagasaki, Satoru Miyano An efficient biological pathway layout algorithm combining grid-layout and spring embedder for complicated cellular location information. Search on Bibsonomy BMC Bioinform. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Arnaud Quirin, Oscar Cordón Vmap-Layout, a Layout Algorithm for Drawing Scientograms. Search on Bibsonomy Computational Social Network Analysis The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Gerald Weber A Reduction of Grid-Bag Layout to Auckland Layout. Search on Bibsonomy Australian Software Engineering Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Ryota Tachikawa, Yuko Osana Office layout support system using genetic algorithm - generation of layout plans for polygonal space -. Search on Bibsonomy NaBIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Sven Schneider 0004, Jan-Ruben Fischer, Reinhard König Rethinking Automated Layout Design: Developing a Creative Evolutionary Design Method for the Layout Problems in Architecture and Urban Design. Search on Bibsonomy DCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Arshia Ahi, Mir-Bahador Aryanezhad, Behzad Ashtiani, Ahmad Makui A novel approach to determine cell formation, intracellular machine layout and cell layout in the CMS problem based on TOPSIS method. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Imran Sarwar Bajwa, M. Imran Siddique, M. Abbas Choudhary Web Layout Mining (WIM): A New Paradigm for Intelligent Web Layout Design. Search on Bibsonomy Egypt. Comput. Sci. J. The full citation details ... 2007 DBLP  BibTeX  RDF
18Seyed-Mahmoud Aghazadeh An experimental approach to improve retail layout: shoppers reactions to layout. Search on Bibsonomy Int. J. Serv. Stand. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Matthew Hurst, Tetsuya Nasukawa Layout and Language: Integrating Spatial and Linguistic Knowledge for Layout Understanding Tasks. Search on Bibsonomy COLING The full citation details ... 2000 DBLP  BibTeX  RDF
18Naveed A. Sherwani, Prashant Sawkar Embedded Tutorial: Layout Driven Synthesis or Synthesis Driven Layout. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Winfried Graf Intelligent multimedia layout: A reference architecture for the constraint-based spatial layout of multimedia presentations. Search on Bibsonomy Comput. Stand. Interfaces The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Fang-Jou Liu, John Lillis, Chung-Kuan Cheng A new layout-driven timing model for incremental layout optimization. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Richard L. Grimsdale, C. W. Chang The Layout Design Language: A Technique for Generating Layout Plans. Search on Bibsonomy Comput. Graph. Forum The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Ugur Dogrusöz, Brendan Madden, Patrick Madden Circular Layout in the Graph Layout Toolkit. Search on Bibsonomy GD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Kazuo Sugihara, Kazunari Yamamoto, Koji Takeda, Mitsuyuki Inaba Layout-by-Example: A Fuzzy Visual Language for Specifying Stereotypes of Diagram Layout. Search on Bibsonomy VL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18C. C. Chen, S.-L. Chow The Layout Synthesizer: An Automatic Netlist-to-Layout System. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Jon A. Solworth Generic: a Programming Language for Vlsi Layout and Layout Manipulation. Search on Bibsonomy 1987   RDF
18James E. Hassett Automated layout in ASHLAR: An approach to the problems of "General Cell" layout for VLSI. Search on Bibsonomy DAC The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
18G. Persky, C. Enger, D. M. Selove The Hughes Automated Layout System - automated LSI/VLSI layout based on channel routing. Search on Bibsonomy DAC The full citation details ... 1981 DBLP  BibTeX  RDF
17Kazi Shah Nawaz Ripon, Kyrre Glette, Mats Høvin, Jim Tørresen Multi-objective evolutionary approach for solving facility layout problem using local search. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF jumping gene genetic algorithm (JGGA), pareto optimality
17Loïc Lecerf, Boris Chidlovskii Scalable indexing for layout based document retrieval and ranking. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Chunyang Gou, Georgi Kuzmanov, Georgi Gaydadjiev SAMS multi-layout memory: providing multiple views of data to boost SIMD performance. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jae-Seok Yang, Krit Athikulwongse, Young-Joon Lee, Sung Kyu Lim, David Z. Pan TSV stress aware timing analysis with applications to 3D-IC layout optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mobility variation, timing analysis, stress, TSV, 3DIC
17Michael Douma, Grzegorz Ligierko, Ovidiu Ancuta, Pavel Gritsai, Sean Liu SpicyNodes: Radial Layout Authoring for the General Public. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Albert Gordo, Ernest Valveny The Diagonal Split: A Pre-segmentation Step for Page Layout Analysis and Classification. Search on Bibsonomy IbPRIA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Muhieddine El Kaissi, Ming Jia, Dirk Reiners, Julie A. Dickerson, Eve Syrkin Wurtele Reaction Centric Layout for Metabolic Networks. Search on Bibsonomy ISVC (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Takuto Yanagida, Hidetoshi Nonaka, Masahito Kurihara Personalizing graphical user interfaces on flexible widget layout. Search on Bibsonomy EICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flexible widget layouts, fuzzy constraint satisfaction problems, personalization of graphical user interfaces, optimization, adaptive user interfaces
17Zhiqiang Lin, Ryan D. Riley, Dongyan Xu Polymorphing Software by Randomizing Data Structure Layout. Search on Bibsonomy DIMVA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Pratik J. Shah, Jiang Hu Impact of lithography-friendly circuit layout. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cd variation, lithography, wirelength, routing congestion
17Almitra Pradhan, Ranga Vemuri Efficient Synthesis of a Uniformly Spread Layout Aware Pareto Surface for Analog Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Itaru Tatsumi, Hitoshi Habe, Masatsugu Kidode Context-oriented Layout Optimization of Large-Print Textbooks. Search on Bibsonomy ICDAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Hosung Kim, John Lillis A Layout-Level Logic Restructuring Framework for LUT-Based FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hua Xiang 0001, Kai-Yuan Chao, Ruchir Puri, Martin D. F. Wong Is Your Layout-Density Verification Exact? - A Fast Exact Deep Submicrometer Density Calculation Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sying-Jyan Wang, Kuo-Lin Peng, Kuang-Cyun Hsiao, Katherine Shu-Min Li Layout-aware scan chain reorder for launch-off-shift transition test coverage. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan chain ordering, test generation, transition faults, Scan test
17Daniel G. Aliaga, Carlos A. Vanegas, Bedrich Benes Interactive example-based urban layout synthesis. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF content-aware image editing, texture and image synthesis, procedural modeling, example-based
17Atsuhiro Takasu, Kenro Aihara Information extraction from scanned documents by stochastic page layout analysis. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ashutosh Chakraborty, Sean X. Shi, David Z. Pan Layout Level Timing Optimization by Leveraging Active Area Dependent Mobility of Strained-Silicon Devices. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jeremy Lee, Sumit Narayan, Mike Kapralos, Mohammad Tehranipoor Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Xingbo Jiang, Xiaoqing Lu, Chengcheng Liu, Monan Li A Hybrid Algorithm for Solving the Optimal Layout Problem of Rectangular Pieces. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hosung (Leo) Kim, John Lillis A framework for layout-level logic restructuring. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF logic resynthesis, timing optimization
17Frank Riddick, Y. Tina Lee Representing layout information in the CMSD specification. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Roberto Toccaceli, Francesco Quaglia DyMeLoR: Dynamic Memory Logger and Restorer Library for Optimistic Simulation Objects with Generic Memory Layout. Search on Bibsonomy PADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sandro Castronovo, Jochen Frey, Peter Poller A Generic Layout-Tool for Summaries of Meetings in a Constraint-Based Approach. Search on Bibsonomy MLMI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Timing-Aware Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Janko Calic, David P. Gibson, Neill W. Campbell Efficient Layout of Comic-Like Video Summaries. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Moshe Ben-Ezra, Zhouchen Lin, Bennett Wilburn Penrose Pixels Super-Resolution in the Detector Layout Domain. Search on Bibsonomy ICCV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Allan Gu, Avideh Zakhor Lossless Compression Algorithms for Post-OPC IC Layout. Search on Bibsonomy ICIP (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Joseph B. Manzano, Ziang Hu, Yi Jiang, Ge Gan, Hyo-Jung Song, Jung-Gyu Park Toward an Automatic Code Layout Methodology. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sonja Maier, Mark Minas A Generic Layout Algorithm for Meta-model Based Editors. Search on Bibsonomy AGTIVE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17T. S. Rajesh Kumar, C. P. Ravikumar, R. Govindarajan MODLEX: A Multi Objective Data Layout EXploration Framework for Embedded Systems-on-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jinseong Jeon, Keoncheol Shin, Hwansoo Han Layout Transformations for Heap Objects Using Static Access Patterns. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hua Xiang 0001, Kai-Yuan Chao, Ruchir Puri, Martin D. F. Wong Is your layout density verification exact?: a fast exact algorithm for density calculation. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fix-dissection, DFM, density
17Samee Ullah Khan, Munib Ahmed A Bottleneck Eliminating Approximate Algorithm for PON Layout. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Guoqiang Yang, Mei Yang, Yulu Yang, Yingtao Jiang On the Physicl Layout of PRDT-Based NoCs. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Henry H. Y. Chan, Zeljko Zilic A Performance Driven Layout Compaction Optimization Algorithm for Analog Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Anastasia Bezerianos Using alternative views for layout, comparison and context switching tasks in wall displays. Search on Bibsonomy OZCHI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF alternative views, interaction, wall displays
17Wu Ruizhe, Han Fei, Ren Li Optimizing the Wire Layout in Wireless Mesh Network. Search on Bibsonomy ICIW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Takashi Hirano, Yuichi Okano, Yasuhiro Okada, Fumio Yoda Text and Layout Information Extraction from Document Files of Various Formats Based on the Analysis of Page Description Language. Search on Bibsonomy ICDAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Melanie Lemaitre, Emmanuele Grosicki, Françoise J. Prêteux Preliminary experiments in layout analysis of handwritten letters based on textural and spatial information and a 2D Markovian approach. Search on Bibsonomy ICDAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kenny Wong, Dabo Sun On evaluating the layout of UML diagrams for program comprehension. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Vito Dai, Avideh Zakhor Lossless compression of VLSI layout image data. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Apostolos Antonacopoulos, Dimosthenis Karatzas, David Bridson Ground Truth for Layout Analysis Performance Evaluation. Search on Bibsonomy Document Analysis Systems The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Barbara T. Theodorides, Walter A. Burkhard B: Disk Array Data Layout Tolerating Multiple Failures. Search on Bibsonomy MASCOTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Claudio Menezes, Cristina Meinhardt, Ricardo Reis 0001, Reginaldo Tavares A Regular Layout Approach for ASICs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mario Inostroza-Ponta, Regina Berretta, Alexandre Mendes, Pablo Moscato An automatic graph layout procedure to visualize correlated data. Search on Bibsonomy IFIP AI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17David Liu 0001, Datong Chen, Tsuhan Chen Latent Layout Analysis for Discovering Objects in Images. Search on Bibsonomy ICPR (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Tatsuya Ishihara, Hironobu Takagi, Takashi Itoh, Chieko Asakawa Analyzing visual layout for a non-visual presentation-document interface. Search on Bibsonomy ASSETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF alternative interface, metadata, diagram, visual analysis
17Shweta Shah, Nazanin Mansouri, Adrián Núñez-Aldana Pre-Layout Estimation of Interconnect Lengths for Digital Integrated Circuits. Search on Bibsonomy CONIELECOMP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Guangqiang Li, Fengqiang Zhao, Chen Guo 0001, Hongfei Teng Parallel Hybrid PSO-GA Algorithm and Its Application to Layout Design. Search on Bibsonomy ICNC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Simone Marinai, Emanuele Marino, Giovanni Soda Tree clustering for layout-based document image retrieval. Search on Bibsonomy DIAL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jinyao Zhang, Miodrag Vujkovic, David Wadkins, Carl Sechen Post-layout energy-delay analysis of parallel multipliers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Pawel Sniatala, R. Rudnicki Automated design and layout generation for switched current circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiong Fu, Yu Zhang 0086, Yiyun Chen Data-Layout Optimization Using Reuse Distance Distribution. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Narender Hanchate, Nagarajan Ranganathan Post-Layout Gate Sizing for Interconnect Delay and Crosstalk Noise Optimization. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jianxi Chen, Dan Feng 0001, Zhan Shi 0001 iVISA: A Framework for Flexible Layout Block-level Storage System. Search on Bibsonomy AINA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jie Song 0001, Tiezheng Nie, Daling Wang, Ge Yu 0001 An Effective Web Page Layout Adaptation for Various Resolutions. Search on Bibsonomy APWeb The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17M. Cecelia Buchanan, Polle Zellweger Automatic temporal layout mechanisms revisited. Search on Bibsonomy ACM Trans. Multim. Comput. Commun. Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF temporal formatting, multimedia authoring, Multimedia documents, temporal specification
17Nattawut Thepayasuwan, Alex Doboli Layout conscious approach and bus architecture synthesis for hardware/software codesign of systems on chip optimized for speed. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Herman Schmit, Vikas Chandra Layout techniques for FPGA switch blocks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yoonseo Choi, Taewhan Kim, Hwansoo Han Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Umut Rifat Tuzkaya, Tijen Ertay, Da Ruan 0001 Simulated Annealing Approach for the Multi-objective Facility Layout Problem. Search on Bibsonomy Intelligent Data Mining The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 11076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license