Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
18 | Qing-Lian Lin, Hu-Chen Liu, Duo-Jin Wang, Long Liu |
Integrating systematic layout planning with fuzzy constraint theory to design and optimize the facility layout for operating theatre in hospitals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Manuf. ![In: J. Intell. Manuf. 26(1), pp. 87-95, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
18 | Ivan W. M. Chan, Martyn Pinfold, C. K. Kwong 0001, W. H. Szeto |
Automation and optimisation of Family Mould Cavity and Runner Layout Design (FMCRLD) using genetic algorithms and mould layout design grammars. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Aided Des. ![In: Comput. Aided Des. 47, pp. 118-133, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
18 | Jun Wang 0001, Lu Cheng, Lizhe Wang 0001 |
Concentric layout, a new scientific data layout for matrix data-set in Hadoop file system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Emergent Distributed Syst. ![In: Int. J. Parallel Emergent Distributed Syst. 28(5), pp. 407-433, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | Karuppasamy Chandrasekar, Ponnusamy Venkumar |
A Simulated Annealing Approach for Integrating Cell Formation with Machine Layout and Cell Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Robotics Autom. ![In: Int. J. Robotics Autom. 28(3), 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | Rebecca M. C. Roberts, Coenrad J. Fourie |
Layout-to-schematic as a step towards layout-versus-schematic verification of SFQ integrated circuit layouts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFRICON ![In: AFRICON 2013, Pointe aux Piments, Mauritius, September 9-12, 2013, pp. 1-5, 2013, IEEE, 978-1-4673-5940-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | V. Madhusudanan Pillai, Krishna Mohan Thazhathu Valiyaveettil |
Detailed Dynamic Layout Planning: An Adaptive Layout Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MIM ![In: 7th IFAC Conference on Manufacturing Modelling, Management, and Control, MIM 2013, Saint Petersburg, Russia, June 19-21, 2013., pp. 2027-2032, 2013, International Federation of Automatic Control, 978-3-902823-35-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | István Albert, Hassan Charaf, László Lengyel |
Layout definition considerations for a content-driven template-based layout system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROCON ![In: Proceedings of Eurocon 2013, International Conference on Computer as a Tool, Zagreb, Croatia, July 1-4, 2013, pp. 543-549, 2013, IEEE, 978-1-4673-2230-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | Ching-Yu Chin, Po-Cheng Pan, Hung-Ming Chen, Tung-Chieh Chen, Jou-Chun Lin |
Efficient analog layout prototyping by layout reuse with routing preservation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013, pp. 40-47, 2013, IEEE, 978-1-4799-1069-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | Christine P. Tan, Congshu Zhou, Yi Tian, Chang Liu, Hein-Mun Lam, Jian Zhang, Mark Lu |
Design for manufacturing layout analyses correlate layout to physico-chemical yield loss mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, CICC 2013, San Jose, CA, USA, September 22-25, 2013, pp. 1-4, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | Clemens Zeidler, Christof Lutteroth, Wolfgang Stürzlinger, Gerald Weber |
The auckland layout editor: an improved GUI layout specification process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIST ![In: The 26th Annual ACM Symposium on User Interface Software and Technology, UIST'13, St. Andrews, United Kingdom, October 8-11, 2013, pp. 343-352, 2013, ACM, 978-1-4503-2268-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | Seyedeh Sabereh Hosseini, Seyed Ali Mirzapour, Kuan Yew Wong |
Improving Multi-Floor Facility Layout Problems Using Systematic Layout Planning and Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IAIT ![In: Advances in Information Technology - 6th International Conference, IAIT 2013, Bangkok, Thailand, December 12-13, 2013. Proceedings, pp. 58-69, 2013, Springer, 978-3-319-03782-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
18 | Sonja Maier, Mark Minas |
Layout Improvement in Diagram Editors by Automatic Ad-hoc Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Electron. Commun. Eur. Assoc. Softw. Sci. Technol. ![In: Electron. Commun. Eur. Assoc. Softw. Sci. Technol. 47, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
18 | Reinhard Koenig, Sven Schneider 0004 |
Hierarchical structuring of layout problems in an interactive evolutionary layout system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Artif. Intell. Eng. Des. Anal. Manuf. ![In: Artif. Intell. Eng. Des. Anal. Manuf. 26(2), pp. 129-142, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
18 | Clemens Zeidler, Christof Lutteroth, Gerald Weber, Wolfgang Stürzlinger |
The Auckland layout editor: an improved GUI layout specification process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHINZ ![In: 13th Annual Conference of the NZ ACM Special Interest Group on Human-Computer Interaction, CHINZ '12, Dunedin, New Zealand - July 02 - 03, 2012, pp. 103, 2012, ACM, 978-1-4503-1474-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
18 | Yusuke Araki, Yuko Osana |
Office layout support system for polygonal space using interactive genetic algorithm - Generation of Layout Plans for Workspace -. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, SMC 2012, Seoul, Korea (South), October 14-17, 2012, pp. 1039-1044, 2012, IEEE, 978-1-4673-1713-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
18 | Amith Singhee, Emrah Acar, Mohammad Imran Younus, Rama N. Singh, Aditya Bansal |
DRC-free high density layout exploration with layout morphing and patterning quality assessment, with application to SRAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Thirteenth International Symposium on Quality Electronic Design, ISQED 2012, Santa Clara, CA, USA, March 19-21, 2012, pp. 470-476, 2012, IEEE, 978-1-4673-1034-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
18 | Tadashi Yasufuku, Yasumi Nakamura, Piao Zhe, Makoto Takamiya, Takayasu Sakurai |
Power Supply Voltage Dependence of Within-Die Delay Variation of Regular Manual Layout and Irregular Place-and-Route Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 94-C(6), pp. 1072-1075, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
18 | V. Madhusudanan Pillai, Irappa Basappa Hunagund, Krishna K. Krishnan |
Design of robust layout for Dynamic Plant Layout Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Ind. Eng. ![In: Comput. Ind. Eng. 61(3), pp. 813-823, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
18 | Junzhou Huo, Wei Sun 0030, Jing Chen, Xu Zhang |
Disc cutters plane layout design of the full-face rock tunnel boring machine (TBM) based on different layout patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Ind. Eng. ![In: Comput. Ind. Eng. 61(4), pp. 1209-1225, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
18 | Kaname Kojima, Masao Nagasaki, Satoru Miyano |
An efficient biological pathway layout algorithm combining grid-layout and spring embedder for complicated cellular location information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMC Bioinform. ![In: BMC Bioinform. 11, pp. 335, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
18 | Arnaud Quirin, Oscar Cordón |
Vmap-Layout, a Layout Algorithm for Drawing Scientograms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computational Social Network Analysis ![In: Computational Social Network Analysis - Trends, Tools and Research Advances, pp. 241-266, 2010, Springer, 978-1-84882-228-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
18 | Gerald Weber |
A Reduction of Grid-Bag Layout to Auckland Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Software Engineering Conference ![In: 21st Australian Software Engineering Conference (ASWEC 2010), 6-9 April 2010, Auckland, New Zealand, pp. 67-74, 2010, IEEE Computer Society, 978-0-7695-4006-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
18 | Ryota Tachikawa, Yuko Osana |
Office layout support system using genetic algorithm - generation of layout plans for polygonal space -. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NaBIC ![In: Second World Congress on Nature & Biologically Inspired Computing, NaBIC 2010, 15-17 December 2010, Kitakyushu, Japan, pp. 280-285, 2010, IEEE, 978-1-4244-7376-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
18 | Sven Schneider 0004, Jan-Ruben Fischer, Reinhard König |
Rethinking Automated Layout Design: Developing a Creative Evolutionary Design Method for the Layout Problems in Architecture and Urban Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCC ![In: Design Computing and Cognition '10, Fourth International Conference on Design Computing and Cognition, DCC'10, University of Stuttgart, Germany, July 2010, pp. 367-386, 2010, Springer, 978-94-007-0509-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
18 | Arshia Ahi, Mir-Bahador Aryanezhad, Behzad Ashtiani, Ahmad Makui |
A novel approach to determine cell formation, intracellular machine layout and cell layout in the CMS problem based on TOPSIS method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Oper. Res. ![In: Comput. Oper. Res. 36(5), pp. 1478-1496, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Imran Sarwar Bajwa, M. Imran Siddique, M. Abbas Choudhary |
Web Layout Mining (WIM): A New Paradigm for Intelligent Web Layout Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Egypt. Comput. Sci. J. ![In: Egypt. Comput. Sci. J. 29(2), 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
18 | Seyed-Mahmoud Aghazadeh |
An experimental approach to improve retail layout: shoppers reactions to layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Serv. Stand. ![In: Int. J. Serv. Stand. 2(3), pp. 303-322, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Matthew Hurst, Tetsuya Nasukawa |
Layout and Language: Integrating Spatial and Linguistic Knowledge for Layout Understanding Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COLING ![In: COLING 2000, 18th International Conference on Computational Linguistics, Proceedings of the Conference, 2 Volumes, July 31 - August 4, 2000, Universität des Saarlandes, Saarbrücken, Germany, pp. 334-340, 2000, Morgan Kaufmann. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
18 | Naveed A. Sherwani, Prashant Sawkar |
Embedded Tutorial: Layout Driven Synthesis or Synthesis Driven Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 11th International Conference on VLSI Design (VLSI Design 1991), 4-7 January 1998, Chennai, India, pp. 44-47, 1998, IEEE Computer Society, 0-8186-8224-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
18 | Winfried Graf |
Intelligent multimedia layout: A reference architecture for the constraint-based spatial layout of multimedia presentations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Stand. Interfaces ![In: Comput. Stand. Interfaces 18(6-7), pp. 515-524, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
18 | Fang-Jou Liu, John Lillis, Chung-Kuan Cheng |
A new layout-driven timing model for incremental layout optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997, pp. 127-131, 1997, IEEE, 0-7803-3663-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
18 | Richard L. Grimsdale, C. W. Chang |
The Layout Design Language: A Technique for Generating Layout Plans. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Graph. Forum ![In: Comput. Graph. Forum 15(2), pp. 97-106, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
18 | Ugur Dogrusöz, Brendan Madden, Patrick Madden |
Circular Layout in the Graph Layout Toolkit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, Symposium on Graph Drawing, GD '96, Berkeley, California, USA, September 18-20, Proceedings, pp. 92-100, 1996, Springer, 3-540-62495-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
18 | Kazuo Sugihara, Kazunari Yamamoto, Koji Takeda, Mitsuyuki Inaba |
Layout-by-Example: A Fuzzy Visual Language for Specifying Stereotypes of Diagram Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VL ![In: Proceedings of the 1992 IEEE Workshop on Visual Languages, September 15-18, 1992, Seattle, Washington, USA, pp. 88-94, 1992, IEEE Computer Society, 0-8186-3090-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
18 | C. C. Chen, S.-L. Chow |
The Layout Synthesizer: An Automatic Netlist-to-Layout System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989., pp. 232-238, 1989, ACM Press. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
18 | Jon A. Solworth |
Generic: a Programming Language for Vlsi Layout and Layout Manipulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1987 |
RDF |
|
18 | James E. Hassett |
Automated layout in ASHLAR: An approach to the problems of "General Cell" layout for VLSI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 19th Design Automation Conference, DAC '82, Las Vegas, Nevada, USA, June 14-16, 1982, pp. 777-784, 1982, ACM/IEEE. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
18 | G. Persky, C. Enger, D. M. Selove |
The Hughes Automated Layout System - automated LSI/VLSI layout based on channel routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 18th Design Automation Conference, DAC '81, Nashville, Tennessee, USA, June 29 - July 1, 1981, pp. 22-28, 1981, ACM/IEEE. The full citation details ...](Pics/full.jpeg) |
1981 |
DBLP BibTeX RDF |
|
17 | Kazi Shah Nawaz Ripon, Kyrre Glette, Mats Høvin, Jim Tørresen |
Multi-objective evolutionary approach for solving facility layout problem using local search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 1155-1156, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
jumping gene genetic algorithm (JGGA), pareto optimality |
17 | Loïc Lecerf, Boris Chidlovskii |
Scalable indexing for layout based document retrieval and ranking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 28-32, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Chunyang Gou, Georgi Kuzmanov, Georgi Gaydadjiev |
SAMS multi-layout memory: providing multiple views of data to boost SIMD performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010, pp. 179-188, 2010, ACM, 978-1-4503-0018-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Jae-Seok Yang, Krit Athikulwongse, Young-Joon Lee, Sung Kyu Lim, David Z. Pan |
TSV stress aware timing analysis with applications to 3D-IC layout optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 803-806, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
mobility variation, timing analysis, stress, TSV, 3DIC |
17 | Michael Douma, Grzegorz Ligierko, Ovidiu Ancuta, Pavel Gritsai, Sean Liu |
SpicyNodes: Radial Layout Authoring for the General Public. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 15(6), pp. 1089-1096, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Albert Gordo, Ernest Valveny |
The Diagonal Split: A Pre-segmentation Step for Page Layout Analysis and Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IbPRIA ![In: Pattern Recognition and Image Analysis, 4th Iberian Conference, IbPRIA 2009, Póvoa de Varzim, Portugal, June 10-12, 2009, Proceedings, pp. 290-297, 2009, Springer, 978-3-642-02171-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Muhieddine El Kaissi, Ming Jia, Dirk Reiners, Julie A. Dickerson, Eve Syrkin Wurtele |
Reaction Centric Layout for Metabolic Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVC (2) ![In: Advances in Visual Computing, 5th International Symposium, ISVC 2009, Las Vegas, NV, USA, November 30 - December 2, 2009, Proceedings, Part II, pp. 81-91, 2009, Springer, 978-3-642-10519-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Takuto Yanagida, Hidetoshi Nonaka, Masahito Kurihara |
Personalizing graphical user interfaces on flexible widget layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EICS ![In: Proceedings of the 1st ACM SIGCHI symposium on Engineering Interactive Computing System , EICS 2009, Pittsburgh, PA, USA, July 15-17, 2009, pp. 255-264, 2009, ACM, 978-1-60558-600-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
flexible widget layouts, fuzzy constraint satisfaction problems, personalization of graphical user interfaces, optimization, adaptive user interfaces |
17 | Zhiqiang Lin, Ryan D. Riley, Dongyan Xu |
Polymorphing Software by Randomizing Data Structure Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIMVA ![In: Detection of Intrusions and Malware, and Vulnerability Assessment, 6th International Conference, DIMVA 2009, Como, Italy, July 9-10, 2009. Proceedings, pp. 107-126, 2009, Springer, 978-3-642-02917-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Pratik J. Shah, Jiang Hu |
Impact of lithography-friendly circuit layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 385-388, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cd variation, lithography, wirelength, routing congestion |
17 | Almitra Pradhan, Ranga Vemuri |
Efficient Synthesis of a Uniformly Spread Layout Aware Pareto Surface for Analog Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on VLSI Design, New Delhi, India, 5-9 January 2009, pp. 131-136, 2009, IEEE Computer Society, 978-0-7695-3506-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Itaru Tatsumi, Hitoshi Habe, Masatsugu Kidode |
Context-oriented Layout Optimization of Large-Print Textbooks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 10th International Conference on Document Analysis and Recognition, ICDAR 2009, Barcelona, Spain, 26-29 July 2009, pp. 1016-1020, 2009, IEEE Computer Society, 978-0-7695-3725-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Hosung Kim, John Lillis |
A Layout-Level Logic Restructuring Framework for LUT-Based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(12), pp. 2120-2132, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Hua Xiang 0001, Kai-Yuan Chao, Ruchir Puri, Martin D. F. Wong |
Is Your Layout-Density Verification Exact? - A Fast Exact Deep Submicrometer Density Calculation Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(4), pp. 621-632, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Sying-Jyan Wang, Kuo-Lin Peng, Kuang-Cyun Hsiao, Katherine Shu-Min Li |
Layout-aware scan chain reorder for launch-off-shift transition test coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(4), pp. 64:1-64:16, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
scan chain ordering, test generation, transition faults, Scan test |
17 | Daniel G. Aliaga, Carlos A. Vanegas, Bedrich Benes |
Interactive example-based urban layout synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 27(5), pp. 160, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
content-aware image editing, texture and image synthesis, procedural modeling, example-based |
17 | Atsuhiro Takasu, Kenro Aihara |
Information extraction from scanned documents by stochastic page layout analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 447-448, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Ashutosh Chakraborty, Sean X. Shi, David Z. Pan |
Layout Level Timing Optimization by Leveraging Active Area Dependent Mobility of Strained-Silicon Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 849-855, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Jeremy Lee, Sumit Narayan, Mike Kapralos, Mohammad Tehranipoor |
Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1172-1177, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Xingbo Jiang, Xiaoqing Lu, Chengcheng Liu, Monan Li |
A Hybrid Algorithm for Solving the Optimal Layout Problem of Rectangular Pieces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICYCS ![In: Proceedings of the 9th International Conference for Young Computer Scientists, ICYCS 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008, pp. 936-941, 2008, IEEE Computer Society, 978-0-7695-3398-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Hosung (Leo) Kim, John Lillis |
A framework for layout-level logic restructuring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 87-94, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
logic resynthesis, timing optimization |
17 | Frank Riddick, Y. Tina Lee |
Representing layout information in the CMSD specification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, WSC 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008, pp. 1777-1784, 2008, WSC, 978-1-4244-2708-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Roberto Toccaceli, Francesco Quaglia |
DyMeLoR: Dynamic Memory Logger and Restorer Library for Optimistic Simulation Objects with Generic Memory Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADS ![In: 22st International Workshop on Principles of Advanced and Distributed Simulation, PADS 2008, Roma, Italy, June 3-6, 2008, pp. 163-172, 2008, IEEE Computer Society, 978-0-7695-3159-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Sandro Castronovo, Jochen Frey, Peter Poller |
A Generic Layout-Tool for Summaries of Meetings in a Constraint-Based Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MLMI ![In: Machine Learning for Multimodal Interaction, 5th International Workshop, MLMI 2008, Utrecht, The Netherlands, September 8-10, 2008. Proceedings, pp. 248-259, 2008, Springer, 978-3-540-85852-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada |
Timing-Aware Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(6), pp. 716-720, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Janko Calic, David P. Gibson, Neill W. Campbell |
Efficient Layout of Comic-Like Video Summaries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 17(7), pp. 931-936, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Moshe Ben-Ezra, Zhouchen Lin, Bennett Wilburn |
Penrose Pixels Super-Resolution in the Detector Layout Domain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCV ![In: IEEE 11th International Conference on Computer Vision, ICCV 2007, Rio de Janeiro, Brazil, October 14-20, 2007, pp. 1-8, 2007, IEEE Computer Society, 978-1-4244-1630-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Allan Gu, Avideh Zakhor |
Lossless Compression Algorithms for Post-OPC IC Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (2) ![In: Proceedings of the International Conference on Image Processing, ICIP 2007, September 16-19, 2007, San Antonio, Texas, USA, pp. 357-360, 2007, IEEE, 978-1-4244-1436-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Joseph B. Manzano, Ziang Hu, Yi Jiang, Ge Gan, Hyo-Jung Song, Jung-Gyu Park |
Toward an Automatic Code Layout Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOMP ![In: A Practical Programming Model for the Multi-Core Era, 3rd International Workshop on OpenMP, IWOMP 2007, Beijing, China, June 3-7, 2007, Proceedings, pp. 157-160, 2007, Springer, 978-3-540-69302-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Sonja Maier, Mark Minas |
A Generic Layout Algorithm for Meta-model Based Editors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AGTIVE ![In: Applications of Graph Transformations with Industrial Relevance, Third International Symposium, AGTIVE 2007, Kassel, Germany, October 10-12, 2007, Revised Selected and Invited Papers, pp. 66-81, 2007, Springer, 978-3-540-89019-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | T. S. Rajesh Kumar, C. P. Ravikumar, R. Govindarajan |
MODLEX: A Multi Objective Data Layout EXploration Framework for Embedded Systems-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 492-497, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Jinseong Jeon, Keoncheol Shin, Hwansoo Han |
Layout Transformations for Heap Objects Using Static Access Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 16th International Conference, CC 2007, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2007, Braga, Portugal, March 26-30, 2007, Proceedings, pp. 187-201, 2007, Springer, 978-3-540-71228-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Hua Xiang 0001, Kai-Yuan Chao, Ruchir Puri, Martin D. F. Wong |
Is your layout density verification exact?: a fast exact algorithm for density calculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 19-26, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
fix-dissection, DFM, density |
17 | Samee Ullah Khan, Munib Ahmed |
A Bottleneck Eliminating Approximate Algorithm for PON Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2-4 April 2007, Las Vegas, Nevada, USA, pp. 1089-1094, 2007, IEEE Computer Society, 978-0-7695-2776-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Guoqiang Yang, Mei Yang, Yulu Yang, Yingtao Jiang |
On the Physicl Layout of PRDT-Based NoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2-4 April 2007, Las Vegas, Nevada, USA, pp. 729-733, 2007, IEEE Computer Society, 978-0-7695-2776-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Henry H. Y. Chan, Zeljko Zilic |
A Performance Driven Layout Compaction Optimization Algorithm for Analog Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 2934-2937, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Anastasia Bezerianos |
Using alternative views for layout, comparison and context switching tasks in wall displays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OZCHI ![In: Proceedings of the 2007 Australasian Computer-Human Interaction Conference, OZCHI 2007, Adelaide, Australia, November 28-30, 2007, pp. 303-310, 2007, ACM, 978-1-59593-872-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
alternative views, interaction, wall displays |
17 | Wu Ruizhe, Han Fei, Ren Li |
Optimizing the Wire Layout in Wireless Mesh Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIW ![In: International Conference on Internet and Web Applications and Services (ICIW 2007), May 13-19, 2007, Le Morne, Mauritius, pp. 56, 2007, IEEE Computer Society, 0-7695-2844-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Takashi Hirano, Yuichi Okano, Yasuhiro Okada, Fumio Yoda |
Text and Layout Information Extraction from Document Files of Various Formats Based on the Analysis of Page Description Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 9th International Conference on Document Analysis and Recognition (ICDAR 2007), 23-26 September, Curitiba, Paraná, Brazil, pp. 262-266, 2007, IEEE Computer Society, 978-0-7695-2822-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Melanie Lemaitre, Emmanuele Grosicki, Françoise J. Prêteux |
Preliminary experiments in layout analysis of handwritten letters based on textural and spatial information and a 2D Markovian approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 9th International Conference on Document Analysis and Recognition (ICDAR 2007), 23-26 September, Curitiba, Paraná, Brazil, pp. 1023-1027, 2007, IEEE Computer Society, 978-0-7695-2822-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Kenny Wong, Dabo Sun |
On evaluating the layout of UML diagrams for program comprehension. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Qual. J. ![In: Softw. Qual. J. 14(3), pp. 233-259, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Vito Dai, Avideh Zakhor |
Lossless compression of VLSI layout image data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 15(9), pp. 2522-2530, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Apostolos Antonacopoulos, Dimosthenis Karatzas, David Bridson |
Ground Truth for Layout Analysis Performance Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Document Analysis Systems ![In: Document Analysis Systems VII, 7th International Workshop, DAS 2006, Nelson, New Zealand, February 13-15, 2006, Proceedings, pp. 302-311, 2006, Springer, 3-540-32140-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Barbara T. Theodorides, Walter A. Burkhard |
B: Disk Array Data Layout Tolerating Multiple Failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 14th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2006), 11-14 September 2006, Monterey, California, USA, pp. 21-32, 2006, IEEE Computer Society, 0-7695-2573-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Claudio Menezes, Cristina Meinhardt, Ricardo Reis 0001, Reginaldo Tavares |
A Regular Layout Approach for ASICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2-3 March 2006, Karlsruhe, Germany, pp. 424-425, 2006, IEEE Computer Society, 0-7695-2533-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Mario Inostroza-Ponta, Regina Berretta, Alexandre Mendes, Pablo Moscato |
An automatic graph layout procedure to visualize correlated data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFIP AI ![In: Artificial Intelligence in Theory and Practice, IFIP 19th World Computer Congress, TC 12: IFIP AI 2006 Stream, August 21-24, 2006, Santiago, Chile, pp. 179-188, 2006, Springer, 0-387-34654-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | David Liu 0001, Datong Chen, Tsuhan Chen |
Latent Layout Analysis for Discovering Objects in Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (2) ![In: 18th International Conference on Pattern Recognition (ICPR 2006), 20-24 August 2006, Hong Kong, China, pp. 468-471, 2006, IEEE Computer Society, 0-7695-2521-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Tatsuya Ishihara, Hironobu Takagi, Takashi Itoh, Chieko Asakawa |
Analyzing visual layout for a non-visual presentation-document interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASSETS ![In: Proceedings of the 8th International ACM SIGACCESS Conference on Computers and Accessibility, ASSETS 2006, Portland, Oregon, USA, October 23-25, 2006, pp. 165-172, 2006, ACM, 1-59593-290-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
alternative interface, metadata, diagram, visual analysis |
17 | Shweta Shah, Nazanin Mansouri, Adrián Núñez-Aldana |
Pre-Layout Estimation of Interconnect Lengths for Digital Integrated Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONIELECOMP ![In: 16th International Conference on Electronics, Communications, and Computers (CONIELECOMP 2006), 27 February 2005 - 1 March 2006, Cholula, Puebla, Mexico, pp. 38, 2006, IEEE Computer Society, 0-7695-2505-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Guangqiang Li, Fengqiang Zhao, Chen Guo 0001, Hongfei Teng |
Parallel Hybrid PSO-GA Algorithm and Its Application to Layout Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNC (1) ![In: Advances in Natural Computation, Second International Conference, ICNC 2006, Xi'an, China, September 24-28, 2006. Proceedings, Part I, pp. 749-758, 2006, Springer, 3-540-45901-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Simone Marinai, Emanuele Marino, Giovanni Soda |
Tree clustering for layout-based document image retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIAL ![In: Second International Workshop on Document Image Analysis for Libraries (DIAL 2006), 27-28 April 2006, Lyon, France, pp. 243-253, 2006, IEEE Computer Society, 0-7695-2531-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Jinyao Zhang, Miodrag Vujkovic, David Wadkins, Carl Sechen |
Post-layout energy-delay analysis of parallel multipliers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Pawel Sniatala, R. Rudnicki |
Automated design and layout generation for switched current circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Xiong Fu, Yu Zhang 0086, Yiyun Chen |
Data-Layout Optimization Using Reuse Distance Distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC Workshops ![In: Emerging Directions in Embedded and Ubiquitous Computing, EUC 2006 Workshops: NCUS, SecUbiq, USN, TRUST, ESO, and MSA, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 858-867, 2006, Springer, 3-540-36850-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Narender Hanchate, Nagarajan Ranganathan |
Post-Layout Gate Sizing for Interconnect Delay and Crosstalk Noise Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 92-97, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Jianxi Chen, Dan Feng 0001, Zhan Shi 0001 |
iVISA: A Framework for Flexible Layout Block-level Storage System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA (2) ![In: 20th International Conference on Advanced Information Networking and Applications (AINA 2006), 18-20 April 2006, Vienna, Austria, pp. 881-888, 2006, IEEE Computer Society, 0-7695-2466-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Jie Song 0001, Tiezheng Nie, Daling Wang, Ge Yu 0001 |
An Effective Web Page Layout Adaptation for Various Resolutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APWeb ![In: Frontiers of WWW Research and Development - APWeb 2006, 8th Asia-Pacific Web Conference, Harbin, China, January 16-18, 2006, Proceedings, pp. 779-785, 2006, Springer, 3-540-31142-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | M. Cecelia Buchanan, Polle Zellweger |
Automatic temporal layout mechanisms revisited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Multim. Comput. Commun. Appl. ![In: ACM Trans. Multim. Comput. Commun. Appl. 1(1), pp. 60-88, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
temporal formatting, multimedia authoring, Multimedia documents, temporal specification |
17 | Nattawut Thepayasuwan, Alex Doboli |
Layout conscious approach and bus architecture synthesis for hardware/software codesign of systems on chip optimized for speed. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(5), pp. 525-538, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Herman Schmit, Vikas Chandra |
Layout techniques for FPGA switch blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(1), pp. 96-105, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Yoonseo Choi, Taewhan Kim, Hwansoo Han |
Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(2), pp. 278-287, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Umut Rifat Tuzkaya, Tijen Ertay, Da Ruan 0001 |
Simulated Annealing Approach for the Multi-objective Facility Layout Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Data Mining ![In: Intelligent Data Mining: Techniques and Applications, pp. 401-418, 2005, Springer, 978-3-540-26256-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|