|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1414 occurrences of 593 keywords
|
|
|
Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
13 | Duo Liu, Zheng Chen, Bei Hua, Nenghai Yu, Xinan Tang |
High-performance packet classification algorithm for multithreaded IXP network processor. |
ACM Trans. Embed. Comput. Syst. |
2008 |
DBLP DOI BibTeX RDF |
architecture, multithreading, Network processor, packet classification, thread-level parallelism, embedded system design |
13 | Bingsheng He, Qiong Luo 0001 |
Cache-oblivious databases: Limitations and opportunities. |
ACM Trans. Database Syst. |
2008 |
DBLP DOI BibTeX RDF |
cache-conscious, chip multiprocessors, data caches, simultaneous multithreading, Cache-oblivious |
13 | Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, Kai Li 0001 |
The PARSEC benchmark suite: characterization and architectural implications. |
PACT |
2008 |
DBLP DOI BibTeX RDF |
benchmark suite, shared-memory computers, multithreading, performance measurement |
13 | Diego R. Llanos Ferraris, David Orden, Belén Palop |
Just-In-Time Scheduling for Loop-based Speculative Parallelization. |
PDP |
2008 |
DBLP DOI BibTeX RDF |
loop-based speculation, scheduling, speculative multithreading, Speculative parallelization |
13 | Yevgen Voronenko, Franz Franchetti, Frédéric de Mesmay, Markus Püschel |
System Demonstration of Spiral: Generator for High-Performance Linear Transform Libraries. |
AMAST |
2008 |
DBLP DOI BibTeX RDF |
automatic performance tuni, SIMD vector instructions, FFT, domain-specific language, multithreading, discrete Fourier transform, matrix algebra, rewriting, program generation, Linear transform |
13 | Jeffery A. Brown, Dean M. Tullsen |
The shared-thread multiprocessor. |
ICS |
2008 |
DBLP DOI BibTeX RDF |
chip multiprocessors, simultaneous multithreading |
13 | Kunal Agrawal, Jeremy T. Fineman, Jim Sukha |
Nested parallelism in transactional memory. |
PPoPP |
2008 |
DBLP DOI BibTeX RDF |
nested parallel computations, series-parallel computations, transaction conflict detection, data structure, multithreading, transactional memory, work stealing, cilk, fork-join |
13 | Haipeng Cheng, Zheng Chen, Bei Hua, Xinan Tang |
Scalable packet classification using interpreting: a cross-platform multi-core solution. |
PPoPP |
2008 |
DBLP DOI BibTeX RDF |
architecture, multithreading, network processor, packet classification, thread-level parallelism, embedded system design |
13 | George W. Dunlap, Dominic G. Lucchetti, Michael A. Fetterman, Peter M. Chen |
Execution replay of multiprocessor virtual machines. |
VEE |
2008 |
DBLP DOI BibTeX RDF |
ReVirt, direct memory access, execution replay, hardware page protections, virtual machines, multiprocessors, multithreading, determinism, Xen |
13 | Min Xu, Rastislav Bodík, Mark D. Hill |
A Hardware Memory Race Recorder for Deterministic Replay. |
IEEE Micro |
2007 |
DBLP DOI BibTeX RDF |
shared-memory race recording, multithreading, multicore, cache coherence, determinism |
13 | Tim Harris 0001, Adrián Cristal, Osman S. Unsal, Eduard Ayguadé, Fabrizio Gagliardi, Burton Smith, Mateo Valero |
Transactional Memory: An Overview. |
IEEE Micro |
2007 |
DBLP DOI BibTeX RDF |
parallel programming, multithreading, transactional memory, memory architecture |
13 | James Laudon, Lawrence Spracklen |
The Coming Wave of Multithreaded Chip Multiprocessors. |
Int. J. Parallel Program. |
2007 |
DBLP DOI BibTeX RDF |
performance, parallel programming, multithreading, Chip multiprocessing |
13 | Jialin Dou, Marcelo H. Cintra |
A compiler cost model for speculative parallelization. |
ACM Trans. Archit. Code Optim. |
2007 |
DBLP DOI BibTeX RDF |
thread-level speculation, speculative multithreading, Speculative parallelization |
13 | Weirong Zhu, Yanwei Niu, Guang R. Gao |
Performance portability on EARTH: a case study across several parallel architectures. |
Clust. Comput. |
2007 |
DBLP DOI BibTeX RDF |
Code portability, Programming execution model, Fine-grained multithreading, EARTH, Performance portability |
13 | Hojung Cha, Sukwon Choi, Inuk Jung, Hyoseung Kim 0001, Hyojeong Shin, Jaehyun Yoo, Chanmin Yoon |
The RETOS operating system: kernel, tools and applications. |
IPSN |
2007 |
DBLP DOI BibTeX RDF |
wireless sensor network, operating systems, multithreading |
13 | Jen-Chih Huang, Xiangmin Jiao, Richard M. Fujimoto, Hongyuan Zha |
DAG-guided parallel asynchronous variational integrators with super-elements. |
SCSC |
2007 |
DBLP BibTeX RDF |
asynchronous variational integrators, parallelism, multithreading, DAG, dependence graph |
13 | Christopher Ostler, Karam S. Chatha, Goran Konjevod |
Approximation Algorithm for Process Mapping on Network Processor Architectures. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
Intel IXP2400 architecture, programmable network processor architectures, symmetric multiprocessing, automated system-level design, NP-complete problem, process mapping, polynomial time approximation algorithm, block multithreading |
13 | Madanlal Musuvathi, Shaz Qadeer |
Iterative context bounding for systematic testing of multithreaded programs. |
PLDI |
2007 |
DBLP DOI BibTeX RDF |
context-bounding, model checking, concurrency, software testing, multithreading, partial-order reduction, shared-memory programs |
13 | Tor M. Aamodt, Paul Chow |
Optimization of data prefetch helper threads with path-expression based statistical modeling. |
ICS |
2007 |
DBLP DOI BibTeX RDF |
optimization, multithreading, analytical modeling, data prefetch, path expressions, helper threads |
13 | Federico Meza, Cristian Ruz |
The Thread Migration Mechanism of DSM-PEPE. |
ICA3PP |
2007 |
DBLP DOI BibTeX RDF |
multithreading, distributed shared memory, spatial locality, Thread migration |
13 | Alex Gontmakher, Avi Mendelson, Assaf Schuster, Gregory Shklover |
Code Compilation for an Explicitly Parallel Register-Sharing Architecture. |
ICPP |
2007 |
DBLP DOI BibTeX RDF |
register sharing, explicitly parallel code, optimizations, multithreading, register allocation, Fine grain parallelization |
13 | Dong Liu 0016, Ralph Deters |
BUST: enabling scalable service orchestration. |
Infoscale |
2007 |
DBLP DOI BibTeX RDF |
performance, SOA, scalability, service, multithreading, BPEL, queueing model, service orchestration |
13 | Srinivas Sridharan 0002, Arun Rodrigues, Peter M. Kogge |
Evaluating synchronization techniques for light-weight multithreaded/multicore architectures. |
SPAA |
2007 |
DBLP DOI BibTeX RDF |
full-empty bits, light-weight multithreading, scalable lock and barrier synchronization techniques, multicore processors, processing-in-memory |
13 | Won Woo Ro, Stephen P. Crago, Alvin M. Despain, Jean-Luc Gaudiot |
Design and evaluation of a hierarchical decoupled architecture. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
Memory latency hiding, Parallel architecture, Multithreading, Instruction level parallelism, Speculative execution, Data prefetching, Decoupled architectures |
13 | Peter Damaschke |
Multiple Spin-Block Decisions. |
Algorithmica |
2006 |
DBLP DOI BibTeX RDF |
Spin-block problem, Implementationlinebreak[4] issues, Multithreading, Online algorithms, Randomization |
13 | Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero |
Predictable Performance in SMT Processors: Synergy between the OS and SMTs. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
real time, operating systems, performance predictability, ILP, thread-level parallelism, simultaneous multithreading, Multithreaded processors |
13 | Tiziano Fagni, Raffaele Perego 0001, Fabrizio Silvestri, Salvatore Orlando 0001 |
Boosting the performance of Web search engines: Caching and prefetching query results by exploiting historical usage data. |
ACM Trans. Inf. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Caching, multithreading, Web search engines |
13 | Michael J. Schulte, John Glossner, Sanjay Jinturkar, Mayan Moudgill, Suman Mamidi, Stamatis Vassiliadis |
A Low-Power Multithreaded Processor for Software Defined Radio. |
J. VLSI Signal Process. |
2006 |
DBLP DOI BibTeX RDF |
low power, wireless communication, computer architecture, multithreading, microarchitecture, Software Defined Radio, processor design |
13 | Mangala Gowri Nanda, S. Ramesh 0001 |
Interprocedural slicing of multithreaded programs with applications to Java. |
ACM Trans. Program. Lang. Syst. |
2006 |
DBLP DOI BibTeX RDF |
interference dependence, strongly connected regions, Multithreading, program slicing, data dependence, context-sensitivity |
13 | Joseph J. Sharkey, Deniz Balkan, Dmitry Ponomarev 0001 |
Adaptive reorder buffers for SMT processors. |
PACT |
2006 |
DBLP DOI BibTeX RDF |
simultaneous multithreading, reorder buffer |
13 | Jin Hwan Park, Bernard A. Demirdag |
High Performance Pattern Matching with Dynamic Load Balancing on Heterogeneous Systems. |
PDP |
2006 |
DBLP DOI BibTeX RDF |
parallelism, distributed processing, multithreading, string matching, dynamic load balancing, RMI |
13 | Xin Li 0020, Reinhard von Hanxleden |
A concurrent reactive Esterel processor based on multi-threading. |
SAC |
2006 |
DBLP DOI BibTeX RDF |
multithreading, processor architecture, synchronous languages, esterel |
13 | Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos |
Scalable locality-conscious multithreaded memory allocation. |
ISMM |
2006 |
DBLP DOI BibTeX RDF |
synchronization-free, shared memory, multithreading, memory management, non-blocking |
13 | Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau, Milind Girkar, Xinmin Tian, Hideki Saito 0001 |
Challenges in exploitation of loop parallelism in embedded applications. |
CODES+ISSS |
2006 |
DBLP DOI BibTeX RDF |
multithreading, multi-cores, vectorization, libraries, programming models, thread-level speculation, parallel loops |
13 | Jeffrey Namkung, Dohyung Kim, Rajesh K. Gupta 0001, Igor Kozintsev, Jean-Yves Bouguet, Carole Dulong |
Phase guided sampling for efficient parallel application simulation. |
CODES+ISSS |
2006 |
DBLP DOI BibTeX RDF |
phase analysis, simulation, sampling, chip multiprocessors, multithreading |
13 | Duo Liu, Bei Hua, Xianghui Hu, Xinan Tang |
High-performance packet classification algorithm for many-core and multithreaded network processor. |
CASES |
2006 |
DBLP DOI BibTeX RDF |
architecture, multithreading, network processor, packet classification, thread-level parallelism, embedded system design |
13 | Shuo Wang, Lei Wang 0003 |
Thread-associative memory for multicore and multithreaded computing. |
ISLPED |
2006 |
DBLP DOI BibTeX RDF |
cache mapping, multithreading, multicore, memory system |
13 | Xianghui Hu, Xinan Tang, Bei Hua |
High-performance IPv6 forwarding algorithm for multi-core and multithreaded network processor. |
PPoPP |
2006 |
DBLP DOI BibTeX RDF |
IPv6 forwarding, parallel programming, pipelining, multithreading, network processor, table lookup, thread-level parallelism |
13 | Simon Kahan, Petr Konecny |
"MAMA!": a memory allocator for multithreaded architectures. |
PPoPP |
2006 |
DBLP DOI BibTeX RDF |
Eldorado, MTA, malloc, parallel memory allocation, multithreading, combining |
13 | Min Xu, Mark D. Hill, Rastislav Bodík |
A regulated transitive reduction (RTR) for longer memory race recording. |
ASPLOS |
2006 |
DBLP DOI BibTeX RDF |
race recording, multithreading, determinism |
13 | Jessica H. Tseng, Krste Asanovic |
A Speculative Control Scheme for an Energy-Efficient Banked Register Fil. |
IEEE Trans. Computers |
2005 |
DBLP DOI BibTeX RDF |
speculative control, Low-power, superscalar, register file, simultaneous multithreading |
13 | Sergiy Boroday, Alexandre Petrenko, J. Singh, Hesham Hallal |
Dynamic analysis of java applications for multithreaded antipatterns. |
ACM SIGSOFT Softw. Eng. Notes |
2005 |
DBLP DOI BibTeX RDF |
Java, multithreading, instrumentation, bytecode, bug patterns, antipatterns |
13 | Philippe Charles, Christian Grothoff, Vijay A. Saraswat, Christopher Donawa, Allan Kielstra, Kemal Ebcioglu, Christoph von Praun, Vivek Sarkar |
X10: an object-oriented approach to non-uniform cluster computing. |
OOPSLA |
2005 |
DBLP DOI BibTeX RDF |
atomic blocks, non-uniform cluster computing (NUCC), partitioned global address space (PGAS), Java, scalability, multithreading, productivity, clocks, data distribution, places, X10 |
13 | Chong-wei Xu, Jose Hughes |
Realizing the Open-Closed Principle. |
ACIS-ICIS |
2005 |
DBLP DOI BibTeX RDF |
Software Architecture, Software Reuse, Multithreading, Component-based Software Engineering, JavaBeans |
13 | Jeremy Manson, William W. Pugh, Sarita V. Adve |
The Java memory model. |
POPL |
2005 |
DBLP DOI BibTeX RDF |
Java, concurrency, multithreading, memory model |
13 | Jayaram Mudigonda, Harrick M. Vin, Raj Yavatkar |
Managing memory access latency in packet processing. |
SIGMETRICS |
2005 |
DBLP DOI BibTeX RDF |
multithreading, network processors, data-caches |
13 | Min Xu, Rastislav Bodík, Mark D. Hill |
A serializability violation detector for shared-memory server programs. |
PLDI |
2005 |
DBLP DOI BibTeX RDF |
multithreading, serializability, race conditions |
13 | Carlos García Quiñones, Carlos Madriles, F. Jesús Sánchez, Pedro Marcuello, Antonio González 0001, Dean M. Tullsen |
Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices. |
PLDI |
2005 |
DBLP DOI BibTeX RDF |
pre-computation slices, automatic parallelization, thread-level parallelism, speculative multithreading |
13 | Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero |
Architectural support for real-time task scheduling in SMT processors. |
CASES |
2005 |
DBLP DOI BibTeX RDF |
scheduling, real time, multithreading, performance predictability, ILP, thread-level parallelism, SMT |
13 | Suman Mamidi, Emily R. Blem, Michael J. Schulte, C. John Glossner, Daniel Iancu, Andrei Iancu, Mayan Moudgill, Sanjay Jinturkar |
Instruction set extensions for software defined radio on a multithreaded processor. |
CASES |
2005 |
DBLP DOI BibTeX RDF |
convolutional encoding, multithreading, forward error correction, software defined radio, Reed-Solomon coding, instruction set extensions, digital signal processor, Viterbi decoding, turbo decoding |
13 | Jason Cong, Ashok Jagannathan, Glenn Reinman, Yuval Tamir |
Understanding the energy efficiency of SMT and CMP with multiclustering. |
ISLPED |
2005 |
DBLP DOI BibTeX RDF |
energy efficiency, simultaneous multithreading, chip multiprocessing |
13 | Tipp Moseley, Alex Shye, Vijay Janapa Reddi, Matthew Iyer, Dan Fay, David Hodgdon, Joshua L. Kihm, Alex Settle, Dirk Grunwald, Daniel A. Connors |
Dynamic run-time architecture techniques for enabling continuous optimization. |
Conf. Computing Frontiers |
2005 |
DBLP DOI BibTeX RDF |
scheduling, profiling, multithreading, performance counters |
13 | Shyamkumar Thoziyoor, Jay B. Brockman, Daniel Rinzler |
PIM lite: a multithreaded processor-in-memory prototype. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
multithreading, VLSI design, processing-in-memory |
13 | David A. Bader, Guojing Cong, John Feo |
On the Architectural Requirements for Efficient Execution of Graph Algorithms. |
ICPP |
2005 |
DBLP DOI BibTeX RDF |
Shared Memory, Multithreading, Graph Algorithms, Connected Components, List ranking |
13 | Manohar K. Prabhu, Kunle Olukotun |
Exposing speculative thread parallelism in SPEC2000. |
PPoPP |
2005 |
DBLP DOI BibTeX RDF |
SPEC CPU2000, feedback-driven optimization, manual parallel programming, chip multiprocessors, multithreading, thread-level speculation |
13 | Ohad Shacham, Mooly Sagiv, Assaf Schuster |
Scaling model checking of dataraces using dynamic information. |
PPoPP |
2005 |
DBLP DOI BibTeX RDF |
datarace, lockset, model checking, multithreading, data race detection |
13 | Jayaram Mudigonda, Harrick M. Vin, Raj Yavatkar |
Overcoming the memory wall in packet processing: hammers or ladders? |
ANCS |
2005 |
DBLP DOI BibTeX RDF |
multithreading, network processors, data-caches |
13 | Dongkeun Kim, Donald Yeung |
A study of source-level compiler algorithms for automatic construction of pre-execution code. |
ACM Trans. Comput. Syst. |
2004 |
DBLP DOI BibTeX RDF |
pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism |
13 | Oliver Lemon, Alexander Gruenstein |
multithreaded context for robust conversational interfaces: Context-sensitive speech recognition and interpretation of corrective fragments. |
ACM Trans. Comput. Hum. Interact. |
2004 |
DBLP DOI BibTeX RDF |
Context, multithreading, recognition, corrections, dialogue |
13 | Jinson Koppanalil, Eric Rotenberg |
A Simple Mechanism for Detecting Ineffectual Instructions in Slipstream Processors. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
slipstream, preexecution, chip multiprocessor, multithreading, Microarchitecture |
13 | Wesley M. Johnston, J. R. Paul Hanna, Richard J. Millar |
Advances in dataflow programming languages. |
ACM Comput. Surv. |
2004 |
DBLP DOI BibTeX RDF |
co-ordination languages, data flow visual programming, software engineering, multithreading, Dataflow, component software, graphical programming |
13 | Matthias Pfeffer, Theo Ungerer |
Dynamic Real-Time Reconfiguration on a Multithreaded Java-Microcontroller. |
ISORC |
2004 |
DBLP DOI BibTeX RDF |
real-time kernels and operating systems, embedded systems, multithreading, dynamic reconfiguration, real-time Java |
13 | Sascha Uhrig, Theo Ungerer |
Fine-grained power management for multithreaded processor cores. |
SAC |
2004 |
DBLP DOI BibTeX RDF |
performance adaptation, power-aware program execution, power-management, multithreading |
13 | Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai |
A cost-driven compilation framework for speculative parallelization of sequential programs. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization |
13 | Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero |
Predictable performance in SMT processors. |
Conf. Computing Frontiers |
2004 |
DBLP DOI BibTeX RDF |
real time, operating systems, multithreading, performance predictability, ILP, thread-level parallelism, SMT |
13 | Yuu Tanaka, Toshinori Sato, Takenori Koushiro |
The potential in energy efficiency of a speculative chip-multiprocessor. |
SPAA |
2004 |
DBLP DOI BibTeX RDF |
dual pipeline, energy efficiency, speculative multithreading |
13 | Ruppa K. Thulasiram, Parimala Thulasiraman |
Performance Evaluation of a Multithreaded Fast Fourier Transform Algorithm for Derivative Pricing. |
J. Supercomput. |
2003 |
DBLP DOI BibTeX RDF |
performance, algorithms, fast Fourier transform, multithreading, options pricing |
13 | Bo Ingvar Sandén |
Entity-Life Modeling: Modeling a Thread Architecture on the Problem Environment. |
IEEE Softw. |
2003 |
DBLP DOI BibTeX RDF |
entity-life modeling (ELM), concurrency, multithreading, software design, resource sharing |
13 | Sascha Uhrig, Theo Ungerer |
Hardware-based Power Management for Real-Time Applications. |
ISPDC |
2003 |
DBLP DOI BibTeX RDF |
power-aware program execution, frequency adjustment, multithreading, dynamic voltage scaling, real-time scheduling |
13 | Georgios Tsilikas, Martin Fleury |
Semi-Structured Portable Library for Multiprocessor Servers. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
multithreading, hybrid parallel programming, Shared-memory parallelism |
13 | Cormac Flanagan, Shaz Qadeer |
A type and effect system for atomicity. |
PLDI |
2003 |
DBLP DOI BibTeX RDF |
multithreading, atomicity, race conditions, static checking |
13 | Francisco J. Cazorla, Enrique Fernández, Alex Ramírez, Mateo Valero |
Improving Memory Latency Aware Fetch Policies for SMT Processors. |
ISHPC |
2003 |
DBLP DOI BibTeX RDF |
fetch policy, long latency loads, load miss predictors, multithreading, SMT |
13 | Gabriel Antoniu, Luc Bougé, Sébastien Lacour |
Making a DSM Consistency Protocol Hierarchy-Aware: an Efficient Synchronization Scheme. |
CCGRID |
2003 |
DBLP DOI BibTeX RDF |
hierarchical interconnection, home-based release consistency, cluster, grid, multithreading, DSM, consistency protocol |
13 | Eli Pozniansky, Assaf Schuster |
Efficient on-the-fly data race detection in multihreaded C++ programs. |
PPoPP |
2003 |
DBLP DOI BibTeX RDF |
concurrency, synchronization, multithreading, instrumentation, data race |
13 | Manohar K. Prabhu, Kunle Olukotun |
Using thread-level speculation to simplify manual parallelization. |
PPoPP |
2003 |
DBLP DOI BibTeX RDF |
feedback-driven optimization, manual parallel programming, chip multiprocessor, multithreading, data speculation |
13 | Venkatram Krishnaswamy, Gagan Hasteer, Prithviraj Banerjee |
Automatic Parallelization of Compiled Event Driven VHDL Simulation. |
IEEE Trans. Computers |
2002 |
DBLP DOI BibTeX RDF |
scheduling, partitioning, VHDL, multithreading, automatic parallelization, event driven simulation, compiled simulation |
13 | Matthias Pfeffer, Sascha Uhrig, Theo Ungerer, Uwe Brinkschulte |
A Real-Time Java System on a Multithreaded Java Microcontroller. |
Symposium on Object-Oriented Real-Time Distributed Computing |
2002 |
DBLP DOI BibTeX RDF |
real-time kernel and operating system, embedded systems, multithreading, real-time scheduling, real-time Java |
13 | Panagiotis E. Hadjidoukas, Eleftherios D. Polychronopoulos, Theodore S. Papatheodorou |
Integrating MPI and Nanothreads Programming Model. |
PDP |
2002 |
DBLP DOI BibTeX RDF |
Clusters, MPI, Multithreading, OpenMP, Runtime Systems |
13 | Fergus Henderson |
Accurate garbage collection in an uncooperative environment. |
MSP/ISMM |
2002 |
DBLP DOI BibTeX RDF |
programming language implementation, C, C, garbage collection, multithreading |
13 | Mitsuhisa Sato |
OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-Chip Multiprocessors. |
ISSS |
2002 |
DBLP DOI BibTeX RDF |
deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading |
13 | Lucian Codrescu, D. Scott Wills, James D. Meindl |
Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
Thread speculation, multiscalar, parallelization, chip-multiprocessor, multithreading, value prediction |
13 | Erik D. Demaine, Ian T. Foster, Carl Kesselman, Marc Snir |
Generalized Communicators in the Message Passing Interface. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
process spawning, process names, MPI, multithreading |
13 | Alex Gontmakher, Assaf Schuster |
Java consistency: nonoperational characterizations for Java memory behavior. |
ACM Trans. Comput. Syst. |
2000 |
DBLP DOI BibTeX RDF |
Java memory models, nonoperational specification, multithreading |
13 | Parimala Thulasiraman, Kevin B. Theobald, Ashfaq A. Khokhar, Guang R. Gao |
Multithreaded algorithms for the fast Fourier transform. |
SPAA |
2000 |
DBLP DOI BibTeX RDF |
parallel algorithms, multithreading, fine-grained, dataflow architecture, non-preemptive |
13 | Jenn-Yuan Tsai, Jian Huang, Christoffer Amlo, David J. Lilja, Pen-Chung Yew |
The Superthreaded Processor Architecture. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
run-time dependence checking, performance evaluation, compilers, Multithreading, speculation |
13 | Robert D. Blumofe, Charles E. Leiserson |
Scheduling Multithreaded Computations by Work Stealing. |
J. ACM |
1999 |
DBLP DOI BibTeX RDF |
critical-path length, multiprocessor, randomized algorithm, multithreading, work stealing, thread scheduling |
13 | Girija J. Narlikar, Guy E. Blelloch |
Space-Efficient Scheduling of Nested Parallelism. |
ACM Trans. Program. Lang. Syst. |
1999 |
DBLP DOI BibTeX RDF |
parallel language implementation, multithreading, dynamic scheduling, nested parallelism, space efficiency |
13 | Venkata Krishnan, Josep Torrellas |
The Need for Fast Communication in Hardware-Based Speculative Chip Multiprocessors. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
register communication, Chip-multiprocessor, speculative multithreading, data-dependence speculation |
13 | Lucian Codrescu, D. Scott Wills |
On Dynamic Speculative Thread Partitioning and the MEM-Slicing Algorithm. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
Thread Partitioning, Multiscalar, Thread Speculation, Speculative Multithreading, Dynamic Partitioning |
13 | Prasad N. Golla, Eric C. Lin |
A Dynamic Scheduling Logic for Exploiting Multiple Functional Units in Single Ship Multithreaded Architectures. |
SAC |
1999 |
DBLP DOI BibTeX RDF |
Tomasulo's algorithm, threaded architectures, computer architecture, multithreading, microprocessor |
13 | Donald E. Thomas, JoAnn M. Paul, Simon N. Peffers, Sandra J. Weber |
Peer-based multithreaded executable co-specification. |
CODES |
1999 |
DBLP DOI BibTeX RDF |
hardware/software co-specification, mixed-system modeling, multithreading, co-simulation |
13 | Kunle Olukotun, Lance Hammond, Mark Willey |
Improving the performance of speculatively parallel applications on the Hydra CMP. |
International Conference on Supercomputing |
1999 |
DBLP DOI BibTeX RDF |
feedback-driven optimization, performance evaluation, parallel programming, chip multiprocessor, multithreading, data speculation |
13 | Alexander G. Dean, John Paul Shen |
System-Level Issues for Software Thread Integration: Guest Triggering and Host Selection. |
RTSS |
1999 |
DBLP DOI BibTeX RDF |
Thread Integration, Real-time Systems, Embedded Systems, Compilers, Multithreading, Hardware to Software Migration |
13 | Michel Cosnard, Emmanuel Jeannot, Tao Yang |
SLC: Symbolic Scheduling for Executing Parameterized Task Graphs on Multiprocessors. |
ICPP |
1999 |
DBLP DOI BibTeX RDF |
Parameterized task graph, symbolic scheduling, clustering, scheduling, multithreading, DAG, task parallelism |
13 | François Galilée, Jean-Louis Roch, Gerson G. H. Cavalheiro, Mathias Doreille |
Athapascan-1: On-Line Building Data Flow Graph in a Parallel Language. |
IEEE PACT |
1998 |
DBLP DOI BibTeX RDF |
macro-data flow languages, Multithreading, on-line scheduling, parallel complexity |
13 | Luc Bougé, Jean-François Méhaut, Raymond Namyst |
Madeleine: An Efficient and Portable Communication Interface for RPC-Based Multithreaded Environments. |
IEEE PACT |
1998 |
DBLP DOI BibTeX RDF |
Distributed Multithreading, RPC-based communications, High-Speed Networks and Workstation Clusters, Zero-Copy Protocols, PM2 Programming Environment, High-Performance Computing |
13 | Sung-Yong Park, Joohan Lee, Salim Hariri |
An Efficient Group Communication Architecture over ATM Networks. |
Heterogeneous Computing Workshop |
1998 |
DBLP DOI BibTeX RDF |
Message-pasing Tool, ATM, Multithreading, Group Communication |
13 | Matteo Frigo, Charles E. Leiserson, Keith H. Randall |
The Implementation of the Cilk-5 Multithreaded Language. |
PLDI |
1998 |
DBLP DOI BibTeX RDF |
parallel computing, C, programming language, multithreading, runtime system, critical path, work |
13 | Sumit Roy 0002, Vipin Chaudhary |
Strings: A High-Performance Distributed Shared Memory for Symmetrical Multiprocessor Clusters. |
HPDC |
1998 |
DBLP DOI BibTeX RDF |
Performance Evaluation, Cluster computing, Multithreading, Distributed Shared Memory, Symmetrical Multiprocessors |
13 | Guang-Ien Cheng, Mingdong Feng, Charles E. Leiserson, Keith H. Randall, Andrew F. Stark |
Detecting Data Rase in Cilk Programs That use Locks. |
SPAA |
1998 |
DBLP DOI BibTeX RDF |
algorithm, parallel programming, debugging, multithreading, data race, race detection, Cilk |
Displaying result #701 - #800 of 1093 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|