The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1966 (18) 1967-1968 (15) 1969-1971 (16) 1972-1974 (33) 1975 (17) 1976 (23) 1977 (33) 1978 (36) 1979 (31) 1980 (27) 1981 (51) 1982 (59) 1983 (47) 1984 (60) 1985 (69) 1986 (93) 1987 (119) 1988 (323) 1989 (310) 1990 (472) 1991 (361) 1992 (423) 1993 (437) 1994 (481) 1995 (494) 1996 (523) 1997 (615) 1998 (464) 1999 (626) 2000 (718) 2001 (675) 2002 (871) 2003 (945) 2004 (1128) 2005 (1206) 2006 (1289) 2007 (1298) 2008 (1271) 2009 (998) 2010 (576) 2011 (420) 2012 (394) 2013 (424) 2014 (396) 2015 (382) 2016 (349) 2017 (344) 2018 (314) 2019 (305) 2020 (289) 2021 (268) 2022 (221) 2023 (264) 2024 (40)
Publication types (Num. hits)
article(4927) book(19) data(2) incollection(73) inproceedings(16315) phdthesis(271) proceedings(54)
Venues (Conferences, Journals, ...)
ICCD(1830) ASAP(1422) IPDPS(503) IEEE Trans. Parallel Distribut...(441) IEEE Trans. Computers(358) CoRR(291) DATE(284) DAC(256) Euro-Par(244) ISCA(240) SC(225) MICRO(214) IEEE Trans. Very Large Scale I...(174) ICS(172) HPCA(161) ICPP(156) More (+10 of total 2222)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18662 occurrences of 5027 keywords

Results
Found 21661 publication records. Showing 21661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17William S. Song High-Performance Front-End Embedded Signal Processors for Adaptive Sensor Arrays. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Andrew Stone, Elias S. Manolakos Minimal Complexity Hierarchical Loop Representations of SFG Processors for Optimal High Level Synthesis. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Optimal VHDL, DG2VHDL, Hierarchical CDFG, High Level Synthesis, Dependence Graph, Signal Flow Graph, Design Complexity
17Nagaraj Ns, Frank Cano, Sudha Thiruvengadam, Deepak Kapoor Performance and Reliability Verification of C6201/C6701 Digital Signal Processors. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jeffry T. Russell, Margarida F. Jacome Software power estimation and optimization for high performance, 32-bit embedded processors. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17David Li, Andrew Pua, Pranjal Srivastava, Uming Ko A Repeater Optimization Methodology for Deep Sub-Micron, High Performance Processors. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Jude A. Rivers, Edward S. Tam, Edward S. Davidson On Effective Data Supply For Multi-Issue Processors. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Jean-Paul Theis, Lothar Thiele VLIW-Processors under Periodic Real Time Constraints. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Risc architecture, frontend compilers, embedded systems
17Thomas M. Conte, Mary Ann Hirsch, Kishore N. Menezes Reducing State Loss For Effective Trace Sampling of Superscalar Processors. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Ashok K. Chandra, Vijay S. Iyengar, R. V. Jawalekar, Michael P. Mullen, Indira Nair, Barry K. Rosen Architectural Verification of Processors Using Symbolic Instruction Graphs. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17S. Surya, Pradip Bose, Jacob A. Abraham Architectural Performance Verification: PowerPCTM Processors. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17W. H. Chou, Sun-Yuan Kung Register transfer modeling and simulation for array processors. Search on Bibsonomy ASAP The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Miodrag Potkonjak, Mani B. Srivastava Behavioral synthesis of high performance, low cost, and low power application specific processors for linear computations. Search on Bibsonomy ASAP The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Yusuke Mishina, Keiji Kojima String Matching on IDP: A String Matching Algorithm for Vector Processors and Its Implementation. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Rodney Boleyn, James Debardelaben, Vivek Tiwari, Andrew Wolfe A Split Data Cache for Superscalar Processors. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Chia-Jiu Wang, Frank Emnett Area and Performance Comparison of Pipelined RISC Processors Implementing Different Precise Interrupt Methods. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Michel Auguin, Fernand Boéri, C. Carrière, G. Menez Synthesis of dedicated SIMD processors. Search on Bibsonomy ASAP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Chie Dou A highly-parallel match architecture for AI production systems using application-specific associative matching processors. Search on Bibsonomy ASAP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Zheng Zhou, Wayne P. Burleson Formal descriptions, semantics and verification of VLSI array processors. Search on Bibsonomy ASAP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Alfred Strey Implementation of large neural associative memories by massively parallel array processors. Search on Bibsonomy ASAP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Dong-wan Tcha, Bum-il Lee, Toung-duck Lee Processors Selection and Traffic Splitting in a Parallel Processors System. Search on Bibsonomy Acta Informatica The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17George A. Sai-Halasz Directions in Futrue High End Processors. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Amar Mukherjee Determining longest common subsequences of two sequences on a linear array of processors. Search on Bibsonomy ASAP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Brion L. Keller, David A. Haynes Design Automation of Test for the EX/9000TM Series Processors. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17M. Hanawa, Tadahiko Nishimukai, O. Nishii, Masato Suzuki, K. Yano, M. Hiraki, S. Shukuri, T. Nishida On-Chip Multiple Superscalar Processors with Secondary Cache Memories. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Jens Franzen A design method for on-line reconfigurable array processors. Search on Bibsonomy ASAP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Glen G. Langdon Jr. Book review: Solving Problems on Concurrent Processors, Vol II: Software for Concurrent Processors by I. Angus, G. Fox, J. Kim, and D. Walker (Prentice-Hall, 1990). Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17David J. Lilja, Pen-Chung Yew Comparing Parallelism Extraction Techniques: Superscalar Processors, Pipelined Processors, and Multiprocessors. Search on Bibsonomy ICPP (1) The full citation details ... 1990 DBLP  BibTeX  RDF
17Jack S. N. Jean Fault-tolerant array processors using N-and-half-track switches. Search on Bibsonomy ASAP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Zicheng Guo, Rami G. Melhem Embedding pyramids in array processors with pipelined busses. Search on Bibsonomy ASAP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17A. P. Marriott, Andrew W. G. Duller, Richard H. Storer, Andrew R. Thomson, Mike R. Pout Towards the automated design of application specific array processors (ASAPs). Search on Bibsonomy ASAP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17R. M. Lea ASP modules: building-blocks for application-specific massively parallel processors. Search on Bibsonomy ASAP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Yi-Chieh Chang, Kang G. Shin A module-sliced approach for high yield VLSI/WSI processors. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Masayoshi Tachibana, Yoshihisa Kondo, Yasuo Yamada, Masafumi Takahashi, Haruyuki Tago High performance I/O processors for real-time pulse handling. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Yervant Zorian, Najmi Jarwala Designing fault-tolerant, testable, VLSI processors using the IEEE P1149.1 boundary-scan architecture. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Shannon Shen 0002, Surendar Magar, Raul Aguilar, Gerry Luikuo, Mike Fleming, K. Rishavy, K. Murphy, C. Furman A high performance CMOS chipset for FFT processors. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17H. V. Jagadish Sorting on an array of processors. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Vincenzo Piuri, Renato Stefanelli Use of redundant binary representation for fault-tolerant arithmetic array processors. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Fausto Distante, Vincenzo Piuri APES: an integrated system for behavioral design, simulation and evaluation of array processors. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Glenn Hinton, Konrad Lai, Randy Steck Microarchitecture of the 80960 high-integration processors. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Masood Namjoo First 32-bit SPARC-based processors implemented in high-speed CMOS. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17S. H. Hosseini On fault-tolerant structure, distributed fault-diagnosis, reconfiguration, and recovery of the array processors. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Chang-Biau Yang, Richard C. T. Lee The mapping of 2-D array processors to 1-D array processors. Search on Bibsonomy Parallel Comput. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
17W. J. Van Gils How to Cope with Faulty Processors in a Completely Connected Network of Communicating Processors. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
15John Sartori, Rakesh Kumar 0002 Overscaling-friendly timing speculation architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stochastic processors, timing speculation, adaptability
15Vahid Kazempour, Ali Kamali, Alexandra Fedorova AASH: an asymmetry-aware scheduler for hypervisors. Search on Bibsonomy VEE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF heterogeneous, scheduling algorithms, multicore processors, virtual machine monitor, hypervisor, asymmetric
15Gianfranco Bilardi, Kattamuri Ekanadham, Pratap Pattnaik On approximating the ideal random access machine by physical machines. Search on Bibsonomy J. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Physical constraints on machines, pipelined hierarchical memory, speculative processors
15Minwook Ahn, Yunheung Paek Register coalescing techniques for heterogeneous register architecture with copy sifting. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, compiler, Register allocation, embedded processors, register coalescing
15Praveen Raghavan, Murali Jayapala, Andy Lambrechts, Javed Absar, Francky Catthoor Playing the trade-off game: Architecture exploration using Coffeee. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design, embedded systems, Energy, VLIW, processors, power estimation, loop transformations, architecture exploration, area, power-performance trade-off, compiler-architecture interaction
15Nikolaos Kavvadias, Spiridon Nikolaidis 0001 Elimination of Overhead Operations in Complex Loop Structures for Embedded Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optimization, Microprocessors, Hardware description languages, Real-time and embedded systems, Pipeline processors, Control design
15Jeffrey R. Diamond, Behnam Robatmili, Stephen W. Keckler, Robert A. van de Geijn, Kazushige Goto, Doug Burger High performance dense linear algebra on a spatially distributed processor. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gotoblas, grid processors, hybrid dataflow, matrix multiply, tile based architecture, instruction level parallelism, on-chip networks, dense linear algebra
15Peter James Leadbitter, Dan Page, Nigel P. Smart Nondeterministic Multithreading. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Side-channel analysis, multithreaded processors
15Richard Vincent Bennett, Alastair Colin Murray, Björn Franke, Nigel P. Topham Combining source-to-source transformations and processor instruction set extensions for the automated design-space exploration of embedded systems. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF source-level transformations, compilers, design space exploration, ASIPs, instruction set extension, customizable processors
15Minwook Ahn, Jooyeon Lee, Yunheung Paek Optimistic coalescing for heterogeneous register architectures. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, register coalesing, compiler, register allocation, embedded processors
15Xianfeng Li, Abhik Roychoudhury, Tulika Mitra, Prabhat Mishra 0001, Xu Cheng 0001 A Retargetable Software Timing Analyzer Using Architecture Description Language. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF retargetable software timing analyzer, static WCET analysis, program path analysis, microarchitecture modeling, graph-based execution models, pipeline model, real-time systems, architecture description language, worst case execution time, embedded processors, branch prediction, schedulability analysis
15Tomás Hrubý, Kees van Reeuwijk, Herbert Bos Ruler: high-speed packet matching and rewriting on NPUs. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TDFA, regular expressions, network processors, deep packet inspection
15Björn Franke, Michael F. P. O'Boyle A Complete Compiler Approach to Auto-Parallelizing C Programs for Multi-DSP Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF conversion from sequential to parallel forms, modeling, evaluation, compilers, measurement, performance measures, interprocessor communications, arrays, real-time and embedded systems, restructuring, Parallel processors, simulation of multiple-processor systems, reverse engineering and reengineering, signal processing systems
15Zhen Chen 0001, Chuang Lin 0002, Jia Ni, Dong-Hua Ruan, Bo Zheng, Yixin Jiang AntiWorm NPU-based Parallel Bloom Filters for TCP/IP Content Processing in Giga-Ethernet LAN. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TCP/IP Protocol suite, Parallel Bloom Filter, Stateful TCP inspection, Network Security, Network Processors, Worms, Deep Packet Inspection
15Ada Gavrilovska, Karsten Schwan Addressing data compatibility on programmable network platforms. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data morphing, network processors, streaming applications
15Rashmi Bajaj, Dharma P. Agrawal Improving Scheduling of Tasks in a Heterogeneous Environment. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF network of processors, directed acyclic graph, Communication cost, heterogeneous environment, optimal scheduling, computational cost, task duplication
15Enrico Angelelli, Á. B. Nagy, Maria Grazia Speranza, Zsolt Tuza The On-Line Multiprocessor Scheduling Problem with Known Sum of the Tasks. Search on Bibsonomy J. Sched. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF competitive analysis, parallel processors, on-line scheduling
15Marco Galluzzi, Ramón Beivide, Valentin Puente, José-Ángel Gregorio, Adrián Cristal, Mateo Valero Evaluating kilo-instruction multiprocessors. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ROB, shared-memory multiprocessors, CC-NUMA, memory wall, instruction window, kilo-instruction processors
15Pepijn J. de Langen, Ben H. H. Juurlink Reducing traffic generated by conflict misses in caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF caches, embedded processors, power reduction, conflict misses
15Daniel Kästner, Stephan Wilhelm Generic control flow reconstruction from assembly code. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF control flow reconstruction, embedded processors, call graph, retargetable compilers, assembly code, postpass optimization
15Olivier Beaumont, Vincent Boudet, Antoine Petitet, Fabrice Rastello, Yves Robert A Proposal for a Heterogeneous Cluster ScaLAPACK (Dense Linear Solvers). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF heterogeneous grid, different-speed processors, load-balancing, cluster computing, Heterogeneous network, data distribution, numerical linear algebra, data allocation, heterogeneous platforms, numerical libraries
15David López 0001, Josep Llosa, Mateo Valero, Eduard Ayguadé Cost-Conscious Strategies to Increase Performance of Numerical Programs on Aggressive VLIW Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF numerical applications, performance/cost trade-off, instruction level parallelism, software pipelining, VLIW processors
15Marco Ferretti Multi-Media Extensions in Super-Pipelined Micro-Architectures. A New Case for SIMD Processing? Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF super-pipelined microarchitectures, general purpose microprocessors, Von-Neumann paradigm, image processing, parallel architectures, associated memory, instruction set architectures, massively parallel processors, multimedia extensions, SIMD processing
15Vincent Boudet, Fabrice Rastello, Yves Robert PVM Implementation of Heterogeneous ScaLAPACK Dense Linear Solvers. Search on Bibsonomy PVM/MPI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF different- speed processors, scheduling and mapping, Heterogeneous networks, distributed-memory, numerical libraries
15João Carreira, Henrique Madeira, João Gabriel Silva Xception: A Technique for the Experimental Evaluation of Dependability in Modern Computers. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF real time, Fault injection, dependability evaluation, RISC processors
15A. Lodeczi Model-integrated parallel application synthesis. Search on Bibsonomy ECBS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF model-integrated parallel application synthesis, Texas Instruments TMS320C40, Analog Devices ADSP21060, flexible topology, embedded parallel signal processing, hardware topology, model-integrated programming environment, Multigraph Architecture, high-level system models, network of processors, software engineering, software engineering, complexity, parallel computer architectures, I/O bandwidth
15Jing-Chiou Liou, Michael A. Palis A Comparison of General Approaches to Multiprocessor Scheduling. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two phase method, task clustering, near optimal number of processors, task clustering algorithm, cluster merging, communication traffic minimizing, CTM, distributed memory parallel architectures, load balancing, multiprocessing systems, multiprocessor scheduling, task graphs, task graph scheduling
15Chin-Wen Ho, Sun-Yuan Hsieh, Gen-Huey Chen An Efficient Parallel Strategy for Computing K-terminal Reliability and Finding Most Vital Edge in 2-trees and Partial 2-trees. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF efficient parallel strategy, K-terminal reliability computation, most vital edge finding, 2-trees, partial 2-trees, connected graph components, logarithmic time, reliability, processors, edges, computation time, vertices, CRCW PRAM
15Michael J. Flynn What's ahead in computer design? Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size
15Zhaofang Wen Multiway Merging in Parallel. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF information retrieval, databases, parallel computation, sorting, merging, Analysis of algorithms, processors assignment
15Shung-Shing Lee, Shi-Jinn Horng, Horng-Ren Tsai, Yu-Hua Lee Some Image Processing Algorithms on a RAP with Wider Bus Networks. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF wider bus networks, reconfigurable array of processors, base-m number system, parallel algorithms, parallel algorithms, image processing, image segmentation, image segmentation, parallel architectures, multiprocessor interconnection networks, reconfigurable architectures, histogram, system buses, computation power, image processing algorithms, image labeling, constant time, RAP
15Chung-Sei Rhee, Heok-Jung Kwon, Young-Tak Kwon, Byung-Whan Choi On the real time diagnosability of multiprocessor systems by comparison approach. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF real time diagnosability, comparison approach, multiprocessing systems, multiprocessor systems, polynomial time algorithm, faulty processors
15Eliseu M. Chaves Filho, Edil S. T. Fernandes, Andrew Wolfe Load Balancing in Superscalar Architectures. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple functional units, parallel instruction execution, processor throughput, dynamic instruction-issuing algorithm, performance, load balancing, parallel architectures, instruction-level parallelism, superscalar processors, application program, computational load, superscalar architectures, hardware resources
15Yong-Kim Chong, Kai Hwang 0001 Performance Analysis of Four Memory Consistency Models for Multithreaded Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF latency hiding techniques, performance evaluation, Distributed shared memory, stochastic Petri nets, multithreaded processors, memory consistency models, context switching, scalable multiprocessors
15Larry Carter, Jeanne Ferrante, Susan Flynn Hummel Hierarchical tiling for improved superscalar performance. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hierarchical tiling, superscalar performance, inner-loop performance, compiler phases, scalar replacement, storage mapping, superscalar pipelined processors, automatic preprocessor, performance evaluation, parallel processing, parallelization, message passing, message passing, register allocation, instruction scheduling, optimizing compiler, data locality, archival storage
15Orly Kremien Buying and selling computational power over the network. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computational power selling, computational power buying, supercomputer speeds, high data rate applications, high-bandwidth communications, extended adaptive partitioning algorithm, mutual interest, client selection, scalability, network, multiprocessing systems, processors, workstations, data-intensive applications, server selection
15Huy Cat, Myunghee Lee, Brent Buchanan, D. Scott Wills, Martin A. Brooke, Nan M. Jokerst Silicon VLSI processing architectures incorporating integrated optoelectronic devices. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF silicon, integrated optoelectronics, integrated optoelectronic interconnects, I/O communication, inter-chip communication, silicon VLSI processing architectures, digital SIMD processors, frame processing, three dimensional stacked chips, thin film detector array, image processing, image processing, VLSI, optical interconnections, integrated circuit interconnections, Si
15Ernst W. Mayr Scheduling interval orders in parallel. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF interval order scheduling, interval representations, transitively oriented digraph, undirected chordal complement, identical parallel processors, minimal length schedule, scheduling distance computation subroutine, incremental version, empty slots, interval order precedence constraints, scheduling, parallel algorithms, parallel algorithm, computational complexity, concurrency control, directed graphs, partial orders, NP-complete problems, deadlines, execution time, minimisation, interval graphs, optimal schedule, release times, NC-algorithm, CREW-PRAM, task systems
15Chien-Min Wang, Sheng-De Wang Efficient Processor Assignment Algorithms and Loop Transformations for Executing Nested Parallel Loops on Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF processor assignment algorithms, nested parallel loops, performance, parallel algorithms, parallel programming, multiprocessors, program compilers, loop transformations, parallel processors, parallel execution
15Lee A. Hollaar Specialized Merge Processor Networks for Combined Sorted Lists. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF backend processors, binary tree networks, computer system architecture, full text retrieval systems, inverted file databases, nonnumeric processing, pipelined networks, sorted list merging
14Diana Göhringer, Michael Hübner 0001, Michael Benz, Jürgen Becker 0001 A semi-automatic toolchain for reconfigurable multiprocessor systems-on-chip: architecture development and application partitioning (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF designflow, toolchain, fpga, partitioning, reconfigurable computing, mpsoc, hardware/software co-design
14Petar Radojkovic, Vladimir Cakarevic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Thread to strand binding of parallel network applications in massive multi-threaded systems. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ultrasparc t2, simultaneous multithreading, process scheduling, cmt
14Sunpyo Hong, Hyesoon Kim An integrated GPU power and performance model. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF GPU architecture, performance, energy, analytical model, CUDA, power estimation
14Pangfeng Liu, May-Chen Kuo, Da-Wei Wang 0004 An Approximation Algorithm and Dynamic Programming for Reduction in Heterogeneous Environments. Search on Bibsonomy Algorithmica The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Heterogeneous workstation cluster, Reduction protocol, Slowest-node-first heuristic, Dynamic programming, Scheduling optimization, Branch-and-bound search
14Valerie King, Jared Saia From Almost Everywhere to Everywhere: Byzantine Agreement with Õ(n3/2) Bits. Search on Bibsonomy DISC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Lars Bauer, Muhammad Shafique 0001, Jörg Henkel MinDeg: a performance-guided replacement policy for run-time reconfigurable accelerators. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF extensible embedded processor, reconfigurable computing, kernel, accelerator, replacement, run-time adaptation
14Valerie King, Jared Saia Brief announcement: fast scalable Byzantine agreement in the full information model with a nonadaptive adversary. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asychrony, communication complexity, gossiping, leader election, byzantine agreement, message complexity, synchrony
14Brian Dougherty, Jules White, Jaiganesh Balasubramanian, Chris Thompson, Douglas C. Schmidt Deployment automation with BLITZ. Search on Bibsonomy ICSE Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Jingnan Yao, Jiani Guo, Laxmi N. Bhuyan Ordered Round-Robin: An Efficient Sequence Preserving Packet Scheduler. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Yefim Dinitz, Shlomo Moran, Sergio Rajsbaum Bit complexity of breaking and achieving symmetry in chains and rings. Search on Bibsonomy J. ACM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bit complexity, processor chain, processor ring, symmetric synchronous execution, Distributed computing, lower bounds, consensus, communication complexity, leader election, communication cost, message complexity, tight bound
14Chadi Kari, Alexander Russell, Narasimha K. Shashidhar Randomized Work-Competitive Scheduling for Cooperative Computing on k-partite Task Graphs. Search on Bibsonomy NCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF partitionable networks, distributed computing, randomized algorithms, competitive analysis, On-line algorithms
14Phuong Hoai Ha, Philippas Tsigas, Otto J. Anshus The Synchronization Power of Coalesced Memory Accesses. Search on Bibsonomy DISC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Anne Benoit, Veronika Rehn-Sonigo, Yves Robert Optimizing latency and reliability of pipeline workflow applications. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Martin Labrecque, Peter Yiannacouras, J. Gregory Steffan Scaling Soft Processor Systems. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Suriayati Chuprat, Sanjoy K. Baruah Scheduling Divisible Real-Time Loads on Clusters with Varying Processor Start Times. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Gaurav Singh 0002, René Weiskircher Collaborative Resource Constraint Scheduling with a Fractional Shared Resource. Search on Bibsonomy IAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Hongfeng Yu 0001, Chaoli Wang 0001, Kwan-Liu Ma Massively parallel volume rendering using 2-3 swap image compositing. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 21661 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license