The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase time-to-market (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1995 (17) 1996-1997 (20) 1998 (26) 1999 (34) 2000 (37) 2001 (51) 2002 (61) 2003 (62) 2004 (89) 2005 (94) 2006 (94) 2007 (95) 2008 (73) 2009 (52) 2010-2013 (15) 2014-2019 (17) 2022-2024 (10)
Publication types (Num. hits)
article(147) incollection(1) inproceedings(696) phdthesis(3)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 849 occurrences of 591 keywords

Results
Found 847 publication records. Showing 847 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
4Paul K. Rodman Forest vs. trees: where's the slack? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Mark Hopkins Nomadic platform approach for wireless mobile multimedia. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Mahmut T. Kandemir LODS: locality-oriented dynamic scheduling for on-chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded system, parallelization, data locality, loop scheduling
4Philip Brisk, Adam Kaplan, Majid Sarrafzadeh Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), compiler, resource sharing, integer linear programming (ILP)
4Alessio Bechini, Pierfrancesco Foglia, Cosimo Antonio Prete Fine-grain design space exploration for a cartographic SoC multiprocessor. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC Multiprocessors, performance evaluation, embedded systems, trace-driven simulation, multiprocessor architecture
4Nasib Singh Gill, P. S. Grover Component-based measurement: few useful guidelines. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Murat R. Becer, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj Early probabilistic noise estimation for capacitively coupled interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Ross Buhrdorf, Dale Churchett, Charles W. Krueger Salion's Experience with a Reactive Software Product Line Approach. Search on Bibsonomy PFE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Jason Xabier Mansell, David Sellier Decision Model and Flexible Component Definition Based on XML Technology. Search on Bibsonomy PFE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Claudio Riva, Christian Del Rosso Experiences with Software Product Family Evolution. Search on Bibsonomy IWPSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Vincenza Carchiolo, Michele Malgeri, Giuseppe Mangioni From Specification to Hardware Device: A Synthesis Algorithm. Search on Bibsonomy ICFEM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Rapid System Prototyping, Synthesis, Hardware Design
4Orest Pilskalns, Anneliese Amschler Andrews, Sudipto Ghosh, Robert B. France Rigorous Testing by Merging Structural and Behavioral UML Representations. Search on Bibsonomy UML The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Jan Jürjens Developing Safety-Critical Systems with UML. Search on Bibsonomy UML The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Jörn Guy Süß, Andreas Leicher, Herbert Weber, Ralf-Detlef Kutsche Model-Centric Engineering with the Evolution and Validation Environment. Search on Bibsonomy UML The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Alex K. Jones, Prithviraj Banerjee An automated and power-aware framework for utilization of IP cores in hardware generated from C descriptions targeting FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Jean-Pierre Talpin, Paul Le Guernic, Sandeep K. Shukla, Rajesh K. Gupta 0001, Frederic Doucet Polychrony for Refinement-Based Design. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Shaojie Wang, Sharad Malik, Reinaldo A. Bergamaschi Modeling and Integration of Peripheral Devices in Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Alexandre Alvaro, Daniel Lucrédio, Vinicius Cardoso Garcia, Antônio Francisco do Prado, Luís Carlos Trevelin, Eduardo Santana de Almeida Orion-RE: A Component-Based Software Reengineering Environment . Search on Bibsonomy WCRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Jörn Guy Süß, Andreas Leicher, Fadi Chabarek Software Model Engineering and Reuse with the Evolution and Validation Environment. Search on Bibsonomy FIDJI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Manu Prego Reuse Based Software Factory. Search on Bibsonomy ESERNET The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Mário P. Véstias, Horácio C. Neto DALI: A Methodology for the Co-Design of Dataflow Applications on Hardware/Software Architectures. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Visvanathan Subramanian, Joseph G. Tront, Charles W. Bostian, Scott F. Midkiff Design and Implementation of a Configurable Platform for Embedded Communication Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Vicente Luque Centeno, Peter T. Breuer, Luis Sánchez Fernández 0001, Carlos Delgado Kloos, Juan Antonio Herráiz Pérez MSC-based Language for Specifying Automated Web Clients. Search on Bibsonomy ISCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Bozena Kaminska, Karim Arabi Mixed Signal DFT: A Concise Overview. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4David Goodwin, Darin Petkov Automatic generation of application specific processors. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF automatic instruction-set generation, ASIPs, configurable processors, extensible processors
4Tarek M. Taha, D. Scott Wills An Instruction Throughput Model of Superscalar Processors. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Ryan J. Fong, Scott J. Harper, Peter M. Athanas A Versatile Framework for FPGA Field Updates: An Application of Partial Self-Reconfiguation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Patrick Tessier, Sébastien Gérard, Chokri Mraidha, Jean-Marc Geib A Component-Based Methodology for Embedded System Prototyping. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Ya-Lan Tsao, Ming Hsuan Tan, Jun-Xian Teng, Shyh-Jye Jou Parameterized and low power DSP core for embedded systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Masahiro Fujita, Satoshi Komatsu, Hiroshi Saito, Kenshu Seto, Thanyapat Sakunkonchak, Yoshihisa Kojima Field Modifiable Architecture with FPGAs and its Design/Verification/Debugging Methodologies. Search on Bibsonomy HICSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Noh-Jin Park, Byoungjae Jin, K. M. George, Nohpill Park, Minsu Choi Regressive Testing for System-on-Chip with Unknown-Good-Yield. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Francisco DaSilva, Yervant Zorian, Lee Whetsel, Karim Arabi, Rohit Kapur Overview of the IEEE P1500 Standard. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Amarjeet Singh 0001, Amit Chhabra, Anup Gangwar, Basant Kumar Dwivedi, M. Balakrishnan, Anshul Kumar SoC Synthesis with Automatic Hardware Software Interface Generation. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Armando Armaroli, Marcello Coppola, Mario Diaz-Nava, Luca Fanucci High Level Modeling and Simulation of a VDSL Modem in SystemC 2.0 - IPsim. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Andreas Kirstädter, Axel Hof, Walter Meyer, Erwin Wolf Implementation of Resilient Packet Ring Nodes Using Network Processors. Search on Bibsonomy LCN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Simulation, Quality of Service, Network processors, High-speed LAN
4Grant Martin, Frank Schirrmeister A Design Chain for Embedded Systems. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Apostolos A. Kountouris, Christophe Wolinski Efficient scheduling of conditional behaviors for high-level synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF conditional behavior, scheduling, high level synthesis (HLS), Design automation
4Donald J. Reifer How Good are Agile Methods? Search on Bibsonomy IEEE Softw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Ian F. Alexander, Friedemann Kiedaisch Towards Recyclable System Requirements. Search on Bibsonomy ECBS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Reuse, Requirements, Use Cases, Recycling
4Donald J. Reifer How to Get the Most out of Extreme Programming/Agile Methods. Search on Bibsonomy XP/Agile Universe The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Christopher W. Milner, Jack W. Davidson Quick piping: a fast, high-level model for describing processor pipelines. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded systems, pipelines, modeling of computer architecture
4Daniel Ménard, Olivier Sentieys Automatic Evaluation of the Accuracy of Fixed-Point Algorithms. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Julia Ahlers, Henrik Weimer Challenges in Interactive Visualization for Knowledge Management. Search on Bibsonomy IV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Tuomas Valtonen, Jouni Isoaho, Hannu Tenhunen The Case for Fine-Grained Re-configurable Architectures: An Analysis of Conceived Performance. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Fayez Chayab, Lev Kirischian, Lucas Szajek Architecture-to-Task Optimization System (ATOS) for Parallel Multi-Mode Data-Flow Architectures on a Base of a Partially Reconfigurable Computing Platform. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data-flow applications, optimization, FPGA, Parallel architecture, DFG, partial reconfiguration, automated synthesis
4Ulrike Becker-Kornstaedt, Daniela Boggio, Jürgen Münch, Alexis Ocampo, Gino Palladino Empirically Driven Design of Software Development Processes for Wireless Internet Services. Search on Bibsonomy PROFES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Teade Punter, Adam Trendowicz, Peter Kaiser Evaluating Evolutionary Software Systems. Search on Bibsonomy PROFES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Murat R. Becer, David T. Blaauw, Ibrahim N. Hajj, Rajendran Panda Early probabilistic noise estimation for capacitively coupled interconnects. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF early noise analysis, congestion, global routing
4Krzysztof Czarnecki 0001 Generative Programming: Methods, Techniques, and Applications. Search on Bibsonomy ICSR The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Fritz Stallinger, Alec Dorling, Terence P. Rout, Brian Henderson-Sellers, Bruno Lefever Software Process Improvement for Component-Based Software Engineering: An Introduction to the OOSPICE Project. Search on Bibsonomy EUROMICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF software process improvement, component-based software engineering, object-oriented development, software process assessment
4Kurt Keutzer, Sharad Malik, A. Richard Newton From ASIC to ASIP: The Next Design Discontinuity. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Programmable platforms, Design methodology, Application Specific Integrated Circuits, ASIC, Application Specific Instruction Set Processors, ASIP
4Cesare Alippi, Andrea Galbusera, Marco Stellini An application level synthesis methodology for embedded systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Edith Kussener, Hervé Barthélemy, Alexandre Malherbe, Andreas Kaiser Versatile macromodel for the power supply of submicronic CMOS microprocessors based on voltage down DC-DC converter. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Petros Oikonomakos, Mark Zwolinski Transformation Based Insertion of On-Line Testing Resources in a High-Level Synthesis Environment. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Sébastien Pillement, Daniel Chillet, Olivier Sentieys Behavioral IP Specification and Integration Framework for High-Level Design Reuse. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Terry Blanchard, Rick Ferreri, Jim Wilmore The OpenAccess Coalition - The Drive to an Open Industry Standard Information Model, API, and Reference Implementation for IC Design Data (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Murat R. Becer, Rajendran Panda, David T. Blaauw, Ibrahim N. Hajj Pre-route Noise Estimation in Deep Submicron Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Crosstalk noise estimation, pre-route, congestion
4Carol Pyron, Rekha Bangalore, Dawit Belete, Jason Goertz, Ashutosh Razdan, Denise Younger Silicon Symptoms to Solutions: Applying Design for Debug Techniques. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Yunjian Jiang, Robert K. Brayton Software synthesis from synchronous specifications using logic simulation techniques. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Alexander Chatzigeorgiou, George Stephanides Evaluating Performance and Power of Object-Oriented Vs. Procedural Programming in Embedded Processors. Search on Bibsonomy Ada-Europe The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Stanislaw Deniziak, Krzysztof Sapiecha Developing a High-Level Fault Simulation Standard. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Martin L. Griss, Gilda Pour Accelerating Development with Agent Components. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Peter Knauber, Jesús Bermejo Muñoz, Günter Böckle, Julio César Sampaio do Prado Leite, Frank van der Linden 0001, Linda M. Northrop, Michael E. Stark, David M. Weiss 0001 Quantifying Product Line Benefits. Search on Bibsonomy PFE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Steffen Thiel On the Definition of a Framework for an Architecting Process Supporting Product Family Development. Search on Bibsonomy PFE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Juha Savolainen, Juha Kuusela Consistency Management of Product Line Requirements. Search on Bibsonomy RE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Jim Harkin, T. Martin McGinnity, Liam P. Maguire Hardware-Software Partitioning: A Reconfigurable and Evolutionary Computing Approach. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Jacky Estublier Objects Control for Software Configuration Management. Search on Bibsonomy CAiSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF architecture, distribution, Version control, concurrent engineering, Software configuration management
4Kenneth S. Norton Applying Cross-Functional Evolutionary Methodologies to Web Development. Search on Bibsonomy Web Engineering The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Dimitris Lioupis, Apostolos Papagiannis, Dionysia Psihogiou A systematic approach to software peripherals for embedded systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF software peripherals, reconfigurable architectures, embedded processors
4Johan Cockx Whole program compilation for embedded software: the ADSL experiment. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interprocedural optimization, whole program compilation, C++, embedded software
4José Pineda de Gyvez Yield modeling and BEOL fundamentals. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Dietmar Loy, Atsushi Murase, Andreas Doederlein System Level Prototyping for Embedded Networking Applications. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Tae-Jin Kwon, Sang-Hoon Lee, Tae-Seon Kim, Hoe-Jin Lee, Young-Kwan Park, Taek-Soo Kim, Seok-Jin Kim, Jeong-Taek Kong Performance Improvement for High Speed Devices Using E-tests and the SPICE Model. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Frank Huebner, Kathleen S. Meier-Hellstern, Paul Reeser Performance Testing for IP Services and Systems. Search on Bibsonomy Performance Engineering The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Magdy S. Abadir, Juhong Zhu, Li-C. Wang Analysis of Testing Methodologies for Custom Designs in PowerPCTM Microprocessor. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Ioana Singureanu Clinical Trial Automation: New, Revolutionary Therapies and Fewer Side-Effects using Mobile Internet Technologies. Search on Bibsonomy WETICE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Pharmaceutical, Food and Drug Administration, Internet, WAP, Clinical Trial, Therapy
4Ali Arsanjani Grammar-Oriented Object Design: Creating Adaptive Collaborations and Dynamic Configurations with Self-Describing Components and Services. Search on Bibsonomy TOOLS (39) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF grammar-oriented object design, self-descriptive systems, components, dynamic reconfiguration, meta-data, adaptive object models, manners
4Krzysztof Czarnecki 0001 Generative Programming and Software System Families. Search on Bibsonomy SAIG The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Charles J. Poole, Tim Murphy, Jan Willem Huisman, Allen Higgins Extreme Maintenance. Search on Bibsonomy ICSM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Software engineering, Software maintenance, Programming, Software
4Daniel Häggander, Lars Lundberg, Jonas Matton Quality Attribute Conflicts - Experiences from a Large Telecommunication Application. Search on Bibsonomy ICECCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Kurt Keutzer, A. Richard Newton, Jan M. Rabaey, Alberto L. Sangiovanni-Vincentelli System-level design: orthogonalization of concerns andplatform-based design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4S. Ramanathan, S. K. Nandy 0001, V. Visvanathan Reconfigurable Filter Coprocessor Architecture for DSP Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable coprocessors, filter coprocessor architecture, systolic architectures and digital signal processing, pipelined architectures, low-power architectures
4Joerg Abke, Erich Barke CoMGen: Direct Mapping of Arbitrary Components into LUT-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4André Brinkmann, Dominik Langen, Ulrich Rückert 0001 A Rapid Prototyping Environment for Microprocessor Based System-on-Chips and Its Application to the Development of a Network Processor. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Li Gong Developing Security Systems in the Real World. Search on Bibsonomy ECOOP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Stan Y. Liao Towards a new standard for system-level design. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Maya B. Gokhale, Janice M. Stone, Jeffrey M. Arnold, Mirek Kalinowski Stream-Oriented FPGA Computing in the Streams-C High Level Language. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA design tools, FPGA, high-level synthesis, configurable computing, hardware-software co-design, silicon compiler
4Gaëtan Vanet, Motohiro Suzuki, Tôru Egashira, Yoshiaki Kiriha Platform Architecture for Internet Service Delivery and Management. Search on Bibsonomy DSOM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Basic Service Component, Composite Internet Service, TINA Business Model, Building Block
4Robert N. Blair, Jacques Benkoski How Do You Select A High Quality EDA Tool Flow?. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Alberto L. Sangiovanni-Vincentelli Platform-Based Design: A Path to Efficient Design Re-Use. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Thomas W. Williams, Rohit Kapur Design for Testability in Nanometer Technologies; Searching for Quality. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Cesare Alippi, Stefano Ferrari, Vincenzo Piuri A Methodology for Example-Based Specification and Design. Search on Bibsonomy IJCNN (3) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Brian Dipert, Danesh Tavana, Barry K. Britton, Bill Harris, Bob Boderson, Chris Rowen Future systems-on-chip: software of hardware design? (panel session). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4N. S. Nagaraj, Andrzej J. Strojwas, Sani R. Nassif, Ray Hokinson, Tak Young, Wonjae L. Kang, David Overhauser, Sung-Mo Kang When bad things happen to good chips (panel session). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Jing Dong Model checking the composition of hypermedia design components. Search on Bibsonomy CASCON The full citation details ... 2000 DBLP  BibTeX  RDF
4Indradeep Ghosh, Niraj K. Jha, Sujit Dey A low overhead design for testability and test generation technique for core-based systems-on-a-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
4Nur Engin, Hans G. Kerkhoff, Ronald J. W. T. Tangelder, Han Speek Integrated Design and Test of Mixed-Signal Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design and test integration, test plan generation, specification-based testing, mixed-signal test
4Chris Feige, Jan Ten Pierick, Clemens Wouters, Ronald J. W. T. Tangelder, Hans G. Kerkhoff Integration of the Scan-Test Method into an Architecture Specific Core-Test Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus-transfer, core reuse, test protocol, TIC, TTM, vector transfer, design-for-testability, ATPG, scan-test, AMBA
4Shuvra S. Bhattacharyya, Praveen K. Murthy, Edward A. Lee Synthesis of Embedded Software from Synchronous Dataflow Specifications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
4Bertram Weber Automating PBX System Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 847 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license