The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for transients with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1989 (15) 1990-1992 (23) 1993-1995 (25) 1996-1998 (17) 1999 (15) 2000-2001 (36) 2002 (29) 2003 (24) 2004 (22) 2005 (35) 2006 (44) 2007 (46) 2008 (37) 2009 (29) 2010-2011 (27) 2012 (16) 2013 (19) 2014 (18) 2015 (23) 2016 (30) 2017 (23) 2018 (37) 2019 (34) 2020 (35) 2021 (25) 2022 (29) 2023 (24) 2024 (6)
Publication types (Num. hits)
article(352) data(4) incollection(2) inproceedings(380) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 175 keywords

Results
Found 743 publication records. Showing 743 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Ye Wang 0007, Ali Ahmaniemi, David Isherwood, Wendong Huang Content-based UEP: a new scheme for packet loss recovery in music streaming. Search on Bibsonomy ACM Multimedia The full citation details ... 2003 DBLP  DOI  BibTeX  RDF audio coding and streaming, content-based unequal error protection (C-UEP), error robustness, packet loss recovery, prioritized resource allocation, user-perceived QoS
12Erik Klintskog, Zacharias El Banna, Per Brand, Seif Haridi The Design and Evaluation of a Middleware Library for Distribution of Language Entities. Search on Bibsonomy ASIAN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Chih-Wen Lu A new rail-to-rail driving scheme and a low-power high-speed output buffer amplifier for AMLCD column driver application. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Harish K. Kashyap, U. Jayachandra Shenoy Classification of power system faults using wavelet transforms and probabilistic neural networks. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Dhruva Acharyya, Jim Plusquellic Impedance Profile of a Commercial Power Grid and Test System. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Roberto Serra, Marco Villani 0001, Luca Agostini On the Dynamics of Scale-Free Boolean Networks. Search on Bibsonomy WIRN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Harel Z. Shouval, Gastone C. Castellani, Brian S. Blais, Luk-Chong Yeung, Leon N. Cooper Converging evidence for a simplified biophysical model of synaptic plasticity. Search on Bibsonomy Biol. Cybern. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12François G. Meyer, Amir Averbuch, Ronald R. Coifman Multilayered image representation: application to image compression. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Cristian Constantinescu Impact of Deep Submicron Technology on Dependability of VLSI Circuits. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Sandeep Dhar, Dragan Maksimovic, Bruno Kranzen Closed-loop adaptive voltage scaling controller for standard-cell ASICs. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay-line, variable-voltage, low-power, energy-efficient, design methodology, circuit design, standard-cell, DC-DC converter
12Masaru Ogawa Magnetizing inrush current of a transformer and a new technique of its computation. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Matteo Sonza Reorda, Massimo Violante Fault List Compaction through Static Timing Analysis for Efficient Fault Injection Experiments. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Renata A. Macêdo, Donato da Silva-Filho, Denis Vinicius Coury, André Carlos Ponce de Leon Ferreira de Carvalho A New Technique Based on Genetic Algorithms for Tracking of Power System Harmonics. Search on Bibsonomy SBRN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Mandeep Singh, Israel Koren Incorporating Fault Tolerance in Analog-to-Digital Converters (ADCs). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault sensitivity, Alpha particle, Fault tolerance, Reliability, Transient faults, Analog-to-Digital Converters
12Chih-Wen Lu, Chung-Len Lee 0001 A Low Power High Speed Class-B Buffer Amplifier for Flat Panel Display Application. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF class-B output buffer, flat-panel display, low power
12Sébastien Mallier, Fabienne Nouvel, Jean-Yves Baudais, Daniel Gardan, Ahmed Zeddam Multi-Carrier CDMA over Copper Lines-Comparison of Performances with the ADSL System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DMT, equalization, MC-CDMA, ADSL
12C. P. Ravikumar, Rahul Kumar Divide-and-Conquer IDDQ Testing for Core-Based System Chips. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12M. Nuh, Ach Jazidie, Muhammad Aziz Muslim Automatic detection of epileptic spikes based on wavelet neural network. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Satoshi Ichikawa, Ryuichiro Tanaka Transient analysis of hybrid system composed of linear lumped elements and frequency dependent lossy transmission lines with distributed sources [VLSI interconnects]. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Raguraman Venkatesan, Jeffrey A. Davis, James D. Meindl A physical model for the transient response of capacitively loaded distributed rlc interconnects. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed rlc lines, overshoot, interconnects, crosstalk, time delay, repeaters, transient response
12Raphael R. Some, Won S. Kim, Garen Khanoyan, Leslie Callum, Anil Agrawal, John J. Beahan Software-Implemented Fault Injection Methodology for Design and Validation of System Fault Tolerance. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Carlos León 0001, Antonio López, Juan-Carlos Montaño, Íñigo Monedero Classification of Disturbances in Electrical Signals Using Neural Networks. Search on Bibsonomy IWANN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Minkyong Kim, Brian D. Noble Mobile network estimation. Search on Bibsonomy MobiCom The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mobile network estimation, filtering, adaptive systems
12Atanas P. Gotchev, Nikolay Nikolaev, Karen O. Egiazarian Improving the transform domain ECG denoising performance by applying interbeat and intra-beat decorrelating transforms. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mika Laiho, Ari Paasio, Asko Kananen, Kari Halonen Discrete time analog polynomial type CNN with digital state. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Xiaoyun Sun, Bapiraju Vinnakota Current Measurement for Dynamic Idd Test. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Jacek Ruminski, Mariusz Kaczmarek, Antoni Nowakowski Medical Active Thermography - A New Image Reconstruction Method. Search on Bibsonomy CAIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF thermography, image reconstruction, medical imaging
12Osama Khouri, Rino Micheloni, Stefano Gregori, Guido Torelli Fast Voltage Regulator for Multilevel Flash Memories. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Cristian Constantinescu Assessing Error Detection Coverage by Simulated Fault Injection. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Xin Wang, Thomas Y. C. Wei, Jaques Reifman, Lefteri H. Tsoukalas Signal Trend Identification with Fuzzy Methods. Search on Bibsonomy ICTAI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Jae J. Chang, Myunghee Lee, Sungyong Jung, Martin A. Brooke, Nan M. Jokerst, D. Scott Wills Fully differential current-input CMOS amplifier front-end suppressing mixed signal substrate noise for optoelectronic applications. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12James F. Plusquellic, Amy Germida, Zheng Yan 8-Bit Multiplier Simulation Experiments Investigating the Use of Power Supply Transient Signals for the Detection of CMOS Defects. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Cristian Constantinescu Using Physical and Simulated Fault Injection to Evaluate Error Detection Mechanisms. Search on Bibsonomy PRDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Vishwani D. Agrawal, Michael L. Bushnell, Ganapathy Parthasarathy, Rajesh Ramadoss Digital Circuit Design for Minimum Transient Energy and a Linear Programming Method. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Andrew Lumsdaine, Mark W. Reichelt, Jeffrey M. Squyres, Jacob K. White 0001 Accelerated waveform methods for parallel transient simulation of semiconductor devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Javier Argüelles, Salvador Bracho Signature analysis for fault detection of mixed-signal ICs based on dynamic power-supply current. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed-signal IC testing, design for test in mixed-signal IC, built-in current sensors
12Shyang-Tai Su, Rafic Z. Makki, H. Troy Nagle Transient power supply current monitoring - A new test method for CMOS VLSI circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Design for current-testability, drain/source opens, floating gates, shorts, transient power supply current
12Rolf Johansson 0002 On Single Event Upset Error Manifestation. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Olgierd A. Palusinski, Dongjin Lee, Lozios Vakanas Comments on 'Simulation of lossless symmetrical three conductor systems' by W. Guggenbuhl et al. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12John R. F. McMacken, Savvas G. Chamberlain A numerical model for two-dimensional transient simulation of amorphous silicon thin-film transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Shyang-Tai Su, Rafic Z. Makki Testing of static random access memories by monitoring dynamic power supply current. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Current-testable design, dynamic current monitors, dynamic power supply current, pattern sensitivity, fault modeling
12Carl A. Waldspurger, Tad Hogg, Bernardo A. Huberman, Jeffrey O. Kephart, W. Scott Stornetta Spawn: A Distributed Computational Economy. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF distributed computational economy, market-based computational system, Spawn system, idle computational resources, heterogeneous computer workstations, coarse-grain concurrent applications, concurrent Monte Carlo simulations, resource distribution, price equilibria, idle processor time, experimental workbench, parallel programming, fairness, computer networks, Monte Carlo methods, distributed network, remote execution, computational markets
12Dominique Thiébaut, Harold S. Stone Footprints in the Cache. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #743 of 743 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license