The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for width with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1976 (15) 1977-1982 (19) 1983-1985 (26) 1986-1987 (26) 1988 (24) 1989 (24) 1990 (35) 1991 (29) 1992 (19) 1993 (37) 1994 (45) 1995 (38) 1996 (54) 1997 (71) 1998 (69) 1999 (128) 2000 (124) 2001 (163) 2002 (211) 2003 (217) 2004 (256) 2005 (271) 2006 (372) 2007 (359) 2008 (320) 2009 (286) 2010 (141) 2011 (118) 2012 (140) 2013 (159) 2014 (165) 2015 (183) 2016 (175) 2017 (175) 2018 (201) 2019 (221) 2020 (234) 2021 (211) 2022 (259) 2023 (279) 2024 (51)
Publication types (Num. hits)
article(2553) data(1) incollection(9) inproceedings(3369) phdthesis(18)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2361 occurrences of 1557 keywords

Results
Found 5950 publication records. Showing 5950 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Klaus Jansen, Roberto Solis-Oba An asymptotic approximation algorithm for 3D-strip packing. Search on Bibsonomy SODA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Sujan Pandey, Nurten Utlu, Manfred Glesner Tabu Search Based On-Chip Communication Bus Synthesis for Shared Multi-Bus Based Architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Tobias Polzin, Siavash Vahdati Daneshmand Practical Partitioning-Based Methods for the Steiner Problem. Search on Bibsonomy WEA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Martin Novotný, Jan Schmidt Two Architectures of a General Digit-Serial Normal Basis Multiplier. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Xiaoyang Gu, Jack H. Lutz, Elvira Mayordomo Points on Computable Curves. Search on Bibsonomy FOCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yin-Tsung Hwang, Jiun-Yan Chen, Ming-Hwa Sheu Automatic Generation of Programmable Parallel CRC & Scrambler Designs. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Xin He, Huaming Zhang Nearly Optimal Visibility Representations of Plane Graphs. Search on Bibsonomy ICALP (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yue Zhuo, Hao Li, Saraju P. Mohanty A Congestion Driven Placement Algorithm for FPGA Synthesis. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Sujan Pandey, Manfred Glesner Energy Efficient Statistical On-Chip Communication Bus Synthesis for a Reconfigurable Architecture. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Wang Xin, Weidong Chen Fuzzy Sliding Mode Constrained input Control for Robotic Manipulators Based on Systemic State. Search on Bibsonomy ICICIC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Eren Demir, Thierry J. Chaussalet, Haifeng Xie, Peter H. Millard A Method for Determining an Emergency Readmission Time Window for Better Patient Management. Search on Bibsonomy CBMS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Marvin K. Nakayama Selection and multiple-comparison procedures for regenerative systems. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Gayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones Design space exploration for low-power reconfigurable fabrics. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Wei Zhang, Jing-Tao Guo, Songling Huang Application of Neural Network in Metal Loss Evaluation for Gas Conducting Pipelines. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11An-Shik Yang, Chun-Yao Wu Computational Design Approach to Hydrodynamic Focusing in a Flow Cytometer. Search on Bibsonomy ICIC (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Emory Al-Imam, Edward Lank Biasing response in Fitts' Law tasks. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2006 DBLP  DOI  BibTeX  RDF accuracy, Fitts' law, signal, speed
11David R. Wood, Jan Arne Telle Planar Decompositions and the Crossing Number of Graphs with an Excluded Minor. Search on Bibsonomy GD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11H. Quynh Dinh, Steven Kropac Multi-Resolution Spin-Images. Search on Bibsonomy CVPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Ying Yu, Raymond R. Hoare, Alex K. Jones, Ralph Sprang A hybrid encoding scheme for efficient single-cycle range matching in content addressable memory. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jin-Tai Yan, Bo-Yi Chiang, Chia-Fang Lee Timing-constrained yield-driven wire sizing for critical area minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Tino Heijmen, Damien Giot, Philippe Roche Factors That Impact the Critical Charge of Memory Elements. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Andreas Bortfeldt, Hermann Gehring New Large Benchmark Instances for the Two-Dimensional Strip Packing Problem with Rectangular Pieces. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chris Houghton, David A. Cohen, Martin James Green The Effect of Constraint Representation on Structural Tractability. Search on Bibsonomy CP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Bo Fu, Qiaoyan Yu, Paul Ampadu Energy-delay minimization in nanoscale domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay, energy, leakage, low voltage, domino
11Royce L. S. Ching, Evangeline F. Y. Young Shuttle mask floorplanning with modified alpha-restricted grid. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-project wafers, reticle design
11Zuying Luo General transistor-level methodology on VLSI low-power design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer, transistor level, simulation, optimization
11Min Chen 0024, Yu Cao 0001 Analysis of Pulse Signaling for Low-Power On-Chip Global Bus Design. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mohammed S. Agamy, Praveen K. Jain A Comparative Study of Two Controllers for Single Stage Three-Level AC/DC Converters. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Julien d'Orso, Tayssir Touili Regular Hedge Model Checking. Search on Bibsonomy IFIP TCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Pierre Fraigniaud, Nicolas Nisse Connected Treewidth and Connected Graph Searching. Search on Bibsonomy LATIN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Rong Liu, Hao Zhang 0002, Oliver van Kaick Spectral Sequencing Based on Graph Distance. Search on Bibsonomy GMP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Min Tang, Jun-Fa Mao Optimization of Global Interconnects in High Performance VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yong Gao 0001 On the Threshold of Having a Linear Treewidth in Random Graphs. Search on Bibsonomy COCOON The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Johannes Mayer, Tsong Yueh Chen, Dehao Huang Adaptive random testing through iterative partitioning revisited. Search on Bibsonomy SOQUA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF random testing, adaptive random testing, test case selection
11Abinash Roy, Masud H. Chowdhury Impacts of Inductance on the Figures of Merit to Optimize Global Interconnect. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Sujan Pandey, Manfred Glesner Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF communication bus synthesis, voltage scaling
11Philip J. Beatty, Dwight G. Nishimura, John M. Pauly Rapid gridding reconstruction with a minimal oversampling ratio. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Mauro Ursino, Giuseppe Emiliano La Cara Dependence of Visual Cell Properties on Intracortical Synapses Among Hypercolumns: Analysis by a Computer Model. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF complex cells, intracortical synapses, visual cortex, receptive fields, simple cells
11Tovi Grossman, Ravin Balakrishnan A probabilistic approach to modeling two-dimensional pointing. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Two-dimensional pointing, probabilistic models, Fitts' law, human performance modeling
11Francesco Scarcello Query answering exploiting structural properties. Search on Bibsonomy SIGMOD Rec. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Xuehong Sun, Yiqiang Q. Zhao An On-Chip IP Address Lookup Algorithm. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Algorithms, hardware, tree data structures, range search, on-chip memory, IP address lookup
11Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Software Trace Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compiler optimizations, branch prediction, Pipeline processors, trace cache, instruction fetch
11Dan Zhao 0001, Shambhu J. Upadhyaya Dynamically partitioned test scheduling with adaptive TAM configuration for power-constrained SoC testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jason Cong, Yiping Fan, Guoling Han, Yizhou Lin, Junjuan Xu, Zhiru Zhang, Xu Cheng 0001 Bitwidth-aware scheduling and binding in high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jianhua Feng, Jieyi Long, Wenhua Xu, Hongfei Ye An improved test access mechanism structure and optimization technique in system-on-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Li Liu, David Zhang 0001 A Novel Palm-Line Detector. Search on Bibsonomy AVBPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Youngmoon Choi, Earl E. Swartzlander Jr. Parallel Prefix Adder Design with Matrix Representation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Beate Bollig Property Testing and the Branching Program Size of Boolean Functions. Search on Bibsonomy FCT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Nicola Galesi, Neil Thapen Resolution and Pebbling Games. Search on Bibsonomy SAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Adrian Dumitrescu, Annette Ebbers-Baumann, Ansgar Grüne, Rolf Klein, Günter Rote On Geometric Dilation and Halving Chords. Search on Bibsonomy WADS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Junhong Liu, Jouni Lampinen A differential evolution based incremental training method for RBF networks. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF neural networks, optimization, radial basis functions, differential evolution, evolutionary strategies
11Ali Keshavarzi, Gerhard Schrom, Stephen Tang, Sean Ma, Keith A. Bowman, Sunit Tyagi, Kevin Zhang 0001, Tom Linton, Nagib Hakim, Steven G. Duvall, John Brews, Vivek De Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Vt mismatch, Vt variation, random dopant variation, threshold voltage variation, transistor mismatch, transistor threshold voltage mismatch, process variation, CMOS, integrated circuits, variation, transistors, threshold voltage, mismatch, body bias, Vt
11Pradeep M. Patil, Shekhar R. Suralkar, Faiyaz B. Sheikh Rotation Invariant Thinning Algorithm to Detect Ridge Bifurcations for Fingerprint Identification. Search on Bibsonomy ICTAI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Shengke Zeng, John R. Powers, Larry L. Jackson, David L. Conover Digital measurement of human proximity to electrical power circuit by a novel amplitude-shift-keying radio-frequency receiver. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Meng-Chiou Wu, Rung-Bin Lin Reticle floorplanning of flexible chips for multi-project wafers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mask cost, multi-project wafer, reticle floorplanning, dicing
11Leszek J. Chmielewski Scale and Rotation Invariance of the Evidence Accumulation-Based Line Detection Algorithm. Search on Bibsonomy CORES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Vinita V. Deodhar, Jeffrey A. Davis Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for Wave-Pipelined VLSI Global Interconnect Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Hua Yang, Gang Cui, Xiao-Zong Yang 2L-MuRR: A Compact Register Renaming Scheme for SMT Processors. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Chunsheng Liu, Vikram Iyengar, Jiangfan Shi, Érika F. Cota Power-Aware Test Scheduling in Network-on-Chip Using Variable-Rate On-Chip Clocking. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Xiaoyi Jiang 0001, Sergej Lewin An Approach to Perceptual Shape Matching. Search on Bibsonomy VISUAL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yinglei Song, Chunmei Liu, Xiuzhen Huang, Russell L. Malmberg, Ying Xu, Liming Cai Efficient Parameterized Algorithm for Biopolymer Structure-Sequence Alignment. Search on Bibsonomy WABI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11R. Gopalakrishnan, Rajat Moona Variable Resizing for Area Improvement in Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Eric Allender, Samir Datta, Sambuddha Roy Topology Inside NC¹. Search on Bibsonomy CCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Martine Ceberio, Vladik Kreinovich Fast Multiplication of Interval Matrices (Interval Version of Strassen's Algorithm). Search on Bibsonomy Reliab. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Fan Gao, Mark L. Latash, Vladimir M. Zatsiorsky Neural network modeling supports a theory on the hierarchical control of prehension. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Prehension, Finger forces, Neural network, Backpropagation, Grasping, Hierarchical organization
11Luca Daniel, Chin Siong Ong, Sok Chay Low, Kwok Hong Lee, Jacob K. White 0001 A multiparameter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Albert Atserias On sufficient conditions for unsatisfiability of random formulas. Search on Bibsonomy J. ACM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Random CNF formulas, propositional resolution, satisfiability, datalog, phase transitions, pebble games
11Martin Grohe, György Turán Learnability and Definability in Trees and Similar Structures. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Veikko Surakka, Marko Illi, Poika Isokoski Gazing and frowning as a new human-computer interaction technique. Search on Bibsonomy ACM Trans. Appl. Percept. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF facial muscle activity, electromyography, Gaze direction
11Christopher A. Stone Extensible objects without labels. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Extensible objects, object calculi
11Daniel F. Schmidt, Gordon S. Lowe, Andrew P. Paplinski On the Design of a Hydraulically Actuated Finger for Dexterous Manipulation. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11María C. Molina, Rafael Ruiz-Sautua, José M. Mendías, Román Hermida Behavioural Scheduling to Balance the Bit-Level Computational Effort. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Suvodeep Gupta, Srinivas Katkoori A Fast Word-Level Statistical Estimator of Intra-Bus Crosstalk. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yi Xiao, Hong Yan 0001 Extraction of Glasses in Human Face Images. Search on Bibsonomy ICBA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jung-Been Im, Sunghoon Chun, Geunbae Kim, Jin-Ho Ahn, Sungho Kang RAIN (RAndom Insertion) Scheduling Algorithm for SoC Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Francesco Scarcello, Gianluigi Greco, Nicola Leone Weighted Hypertree Decompositions and Optimal Query Plans. (PDF / PS) Search on Bibsonomy PODS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Daniel Sawitzki A Symbolic Approach to the All-Pairs Shortest-Paths Problem. Search on Bibsonomy WG The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mark Yim, Craig Eldershaw, Ying Zhang, David Duff Limbless Conforming Gaits with Modular Robots. Search on Bibsonomy ISER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Vijay Durairaj, Priyank Kalla Guiding CNF-SAT search via efficient constraint partitioning. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Tsuyoshi Takagi, Sung-Ming Yen, Bo-Ching Wu Radix-r Non-Adjacent Form. Search on Bibsonomy ISC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF radix-r representation, signed window method, elliptic curve cryptosystem, pairing based cryptosystem, Non-adjacent form
11Akira Mochizuki, Takashi Takeuchi, Takahiro Hanyu Intra-Chip Address-Presetting Data-Transfer Scheme Using Four-Valued Encoding. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Srikanta Tirthapura Brief announcement: adaptive balancing networks. Search on Bibsonomy PODC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF balancing networks, adaptive, peer-to-peer systems, counting networks
11Huaming Zhang, Xin He On Visibility Representation of Plane Graphs. Search on Bibsonomy STACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Tianyi Jiang, Xiaoyong Tang, Prithviraj Banerjee Macro-models for high level area and power estimation on FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF model, FPGA, high-level synthesis, power estimation, RTL, area estimation
11Hari Ananthan, Aditya Bansal, Kaushik Roy 0001 FinFET SRAM - Device and Circuit Design Considerations. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ashish Goel, Sanatan Rai, Bhaskar Krishnamachari Sharp thresholds For monotone properties in random geometric graphs. Search on Bibsonomy STOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sharp thresholds, wireless networks, geometric random graphs
11Bernard Mans, Igor E. Shparlinski Bisecting and Gossiping in Circulant Graphs. Search on Bibsonomy LATIN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Katsuyuki Okeya, Katja Schmidt-Samoa, Christian Spahn, Tsuyoshi Takagi Signed Binary Representations Revisited. Search on Bibsonomy CRYPTO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11PariVallal Kannan, Dinesh Bhatia Estimating Pre-Placement FPGA Interconnection Requirements. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Youkang Fang Optimal Bicentered Form. Search on Bibsonomy Reliab. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Bernd S. W. Schröder On Ordered Sets with Isomorphic Marked Maximal Cards. Search on Bibsonomy Order The full citation details ... 2003 DBLP  DOI  BibTeX  RDF reconstruction, rigid, ordered set
11Sandeep Koranne Design of reconfigurable access wrappers for embedded core based SoC test. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Maximizing throughput over parallel wire structures in the deep submicrometer regime. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Yuan Yan Tang, Xinge You Skeletonization of Ribbon-Like Shapes Based on a New Wavelet Function. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Ribbon-like shape, wavelet skeleton, wavelet transform, skeletonization
11Vasily G. Moshnyaga Reducing Switching Activity of Subtraction via Variable Truncation of the Most-Significant Bits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bit-truncation, low-power design, video processing, switching activity
11Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Crosstalk Noise Analysis in Ultra Deep Submicrometer Technologies. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Pankaj K. Agarwal, Shankar Krishnan, Nabil H. Mustafa, Suresh Venkatasubramanian Streaming Geometric Optimization Using Graphics Hardware. Search on Bibsonomy ESA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Vida Dujmovic, David R. Wood Tree-Partitions of k-Trees with Applications in Graph Layout. Search on Bibsonomy WG The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh Integrated architectural/physical planning approach for minimization of current surge in high performance clock-gated microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power supply noise, inductive noise
11Stuart W. A. Bergen, Andreas Antoniou Design of ultraspherical windows with prescribed spectral characteristics. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 5950 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license