The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FSM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1979-1989 (17) 1990-1991 (27) 1992-1993 (30) 1994 (19) 1995 (22) 1996 (29) 1997 (20) 1998 (24) 1999 (32) 2000 (28) 2001 (23) 2002 (34) 2003 (35) 2004 (50) 2005 (55) 2006 (50) 2007 (65) 2008 (59) 2009 (29) 2010 (19) 2011-2012 (24) 2013-2014 (29) 2015 (18) 2016 (15) 2017 (24) 2018 (27) 2019 (30) 2020 (15) 2021-2022 (32) 2023 (17)
Publication types (Num. hits)
article(219) book(1) inproceedings(675) phdthesis(3)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 769 occurrences of 468 keywords

Results
Found 898 publication records. Showing 898 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Ying Huang, Santhosh Kumaran, Jen-Yao Chung A Service Management Framework for Service-Oriented Enterprises. Search on Bibsonomy CEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Haridimos T. Vergos, Dimitris Nikolos, Maciej Bellos, Costas Efstathiou Deterministic BIST for RNS Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF deterministic and pseudorandom tests, formal test sets, Built-In Self-Test, Residue Number System
9Victor V. Kuliamin, Alexander K. Petrenko, Nick V. Pakoulin, Alexander S. Kossatchev, Igor B. Bourdonov Integration of Functional and Timed Testing of Real-Time and Concurrent Systems. Search on Bibsonomy Ershov Memorial Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Jianping Wu, Zhongjie Li, Xia Yin Towards Modeling and Testing of IP Routing Protocols. Search on Bibsonomy TestCom The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9MingHung Lee, TingTing Hwang, Shi-Yu Huang Decomposition of Extended Finite State Machine for Low Power Design. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Jianqiang Jia, Weidong Chen 0001, Yugeng Xi A Rule-Driven Autonomous Robotic System Operating in a Time-Varying Environment. Search on Bibsonomy RoboCup The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Tatsuo Yotsukura, Shigeo Morishima, Satoshi Nakamura 0001 Model-based talking face synthesis for anthropomorphic spoken dialog agent system. Search on Bibsonomy ACM Multimedia The full citation details ... 2003 DBLP  DOI  BibTeX  RDF anthropomorphic dialog agent, face image synthesis, facial animation, lip synchronization
9Piotr Dziurzanski Modelling of Complex Systems Given as a Mealy Machine with Linear Decision Diagrams. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Sobeeh Almukhaizim, Thomas Verdel, Yiorgos Makris Cost-Effective Graceful Degradation in Speculative Processor Subsystems: The Branch Prediction Case. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Ravishankar K. Iyer, Shuo Chen 0001, Jun Xu 0003, Zbigniew Kalbarczyk Security Vulnerabilities - From Data Analysis to Protection Mechanisms. Search on Bibsonomy WORDS Fall The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Amit K. Chopra, Munindar P. Singh Nonmonotonic Commitment Machines. Search on Bibsonomy Workshop on Agent Communication Languages The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9K. Satya Sai Prakash, S. V. Raghavan User Relevancy Improvisation Protocol. Search on Bibsonomy IWDC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Emil Dumitrescu, Dominique Borrione Symbolic Simulation as a Simplifying Strategy for SoC Verification. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Jessica Chen, Suganthan Subramaniam Specification-based Testing for Gui-based Applications. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF java AWT and swing, finite state machines, specification-based testing, capture/replay
9Fabrizio Ferrandi, Michele Rendine, Donatella Sciuto Functional Verification for SystemC Descriptions Using Constraint Solving. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Prabhat Mishra 0001, Nikil D. Dutt, Alexandru Nicolau, Hiroyuki Tomiyama Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Paul Amblard, Fabienne Lagnier, Michel Lévy Using Formal Tools to Study Complex Circuits Behaviour. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Xiaoming Chen, Geok-Soon Hong A Simulation Study of the Predictive p-Persistent CSMA Protocol. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Grégory Lestiennes, Marie-Claude Gaudel Testing Processes from Formal Specifications with Inputs, Outputs and Data Types. Search on Bibsonomy ISSRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Wilson Rosa de Oliveira, Marcílio Carlos Pereira de Souto, Teresa Bernarda Ludermir Turing Machines with Finite Memory. Search on Bibsonomy SBRN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Shanq-Jang Ruan, Rung-Ji Shang, Feipei Lai, Kun-Lin Tsai A bipartition-codec architecture to reduce power in pipelinedcircuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9R. V. K. Pillai, Dhamin Al-Khalili, Asim J. Al-Khalili, S. Y. A. Shah A Low Power Approach to Floating Point Adder Design for DSP Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF digital-CMOS, power-consumption-model, VLSI, low-power-design, computer-arithmetic, floating-point, switching-activity
9Rajeev Alur, Mihalis Yannakakis Model checking of hierarchical state machines. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF model checking, temporal logic, statecharts, Hierarchical state machines
9Igor B. Bourdonov, Alexey V. Demakov, Andrew A. Jarov, Alexander S. Kossatchev, Victor V. Kuliamin, Alexander K. Petrenko, Sergey V. Zelenov Java Specification Extension for Automated Test Development. Search on Bibsonomy Ershov Memorial Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Po-Hung Chen, Shanq-Jang Ruan, Kuen-Pin Wu, Dai-Xun Hu, Feipei Lai, Kun-Lin Tsai An entropy-based algorithm to reduce area overhead for bipartition-codec architecture. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Cristiana Bolchini, R. Montandon, Fabio Salice, Donatella Sciuto Design of VHDL-based totally self-checking finite-state machine and data-path descriptions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Hideo Fujiwara A New Class of Sequential Circuits with Combinational Test Generation Complexity. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF complexity, test generation, design for testability, sequential circuits, reducibility, partial scan, Balanced structure
9Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Chung-Yang Huang, Forrest Brewer AQUILA: An Equivalence Checking System for Large Sequential Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF state exploration, formal verification, Design verification, equivalence checking
9Satoshi Ohtake, Toshimitsu Masuzawa, Hideo Fujiwara A Non-Scan Approach to DFT for Controllers Achieving 100% Fault Efficiency. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF non-scan design for testability, complete fault efficiency, controllers, at-speed test
9William M. Spears, Diana F. Gordon Evolving Finite-State Machine Strategies for Protecting Resources. Search on Bibsonomy ISMIS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero Evolving Cellular Automata for Self-Testing Hardware. Search on Bibsonomy ICES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Wander O. Cesário, Zoltan Sugar, Imed Moussa, Ahmed Amine Jerraya Efficient Integration of Behavioral Synthesis with Existing Design Flows. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Wander O. Cesário, Ahmed Amine Jerraya, Zoltan Sugar, Imed Moussa Rethinking Behavioral Synthesis for a Better Integration within Existing Design Flows. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Yang Xia, Pranav Ashar Verification of a Combinational Loop Based Arbitration Scheme in a System-On-Chip Integration Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Combinational Loop, Model Checking, Formal Verification, Temporal Logic, Time Division Multiplexing, Token Ring, Computational Tree Logic, Bus Protocol
9Gianpiero Cabodi, Stefano Quer, Fabio Somenzi Optimizing sequential verification by retiming transformations. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Loe M. G. Feijs Generating FSMs from Interworkings. Search on Bibsonomy Distributed Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Sequence chart, Synthesis, Finite state machine, Process algebra
9Subhasish Mitra, LaNae J. Avra, Edward J. McCluskey An output encoding problem and a solution technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Vamsi Boppana, Sreeranga P. Rajan, Koichiro Takayama, Masahiro Fujita Model Checking Based on Sequential ATPG. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Winfried Nöth, Reiner Kolla Spanning Tree-based State Encoding for Low Power Dissipation. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Marine Tabourier, Ana R. Cavalli, Melania Ionescu A GSM-MAP Protocol Experiment Using Passive Testing. Search on Bibsonomy World Congress on Formal Methods The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Chung-Shyan Liu A Program Generator for Object-based Implementation of Communication Protocol Software. Search on Bibsonomy ISADS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9François Pêcheux, Yannick Hervé DIPS for MIPS: An Instrumented VHDL/Corba Kernel for Distributed Learning in EECS. Search on Bibsonomy MSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9In-Ho Moon, James H. Kukula, Thomas R. Shiple, Fabio Somenzi Least fixpoint approximations for reachability analysis. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Shanq-Jang Ruan, Rung-Ji Shang, Feipei Lai, Shyh-Jong Chen, Xian-Jun Huang A bipartition-codec architecture to reduce power in pipelined circuits. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Jens Schönherr, Ingo Schreiber, Eva Fordran, Bernd Straube Hazard Checking in Pipelined Processor Designs Using Symbolic Model Checking. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Young Moo Lee, Kyu Ho Park Unified Modeling Graph for Specifying and Synthesizing Chip-Level Interfaces. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Pranav Ashar, Anand Raghunathan, Aarti Gupta, Subhrajit Bhattacharya Verification of Scheduling in the Presence of Loops Using Uninterpreted Symbolic Simulation. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Peter Bodorik, Dawn N. Jutla, A. Agarwal Recoverable Virtual Memory through the Multi-View Memory Computer System. Search on Bibsonomy HICSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Recoverable Virtual Memory, Multi-view Virtual Memory, Protection Architecture, Architectural Support for Operating Systems, Access Control
9Prabhas Chongstitvatana, Chatchawit Aporntewan Improving Correctness of Finite-State Machine Synthesis from Multiple Partial Input/Output Sequences. Search on Bibsonomy Evolvable Hardware The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Rashmi Goswami, V. Srinivasan, M. Balakrishnan MPEG-2 Video Data Simulator: A Case Study in Constrained HW-SW Codesign. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Cesare Alippi, Franco Fummi, Vincenzo Piuri, Mariagiovanna Sami, Donatella Sciuto Testability analysis and behavioral testing of the Hopfield neural paradigm. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Rajeev Alur, Mihalis Yannakakis Model Checking of Hierarchical State Machines. Search on Bibsonomy SIGSOFT FSE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Dawn N. Jutla, Peter Bodorik Architectural Support for Synchronization of Threads Accessing Variable-Sized Units of Virtual Memory. Search on Bibsonomy HICSS (3) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Wilsin Gosti, Alberto L. Sangiovanni-Vincentelli, Tiziano Villa, Alexander Saldanha An Exact Input Encoding Algorithm for BDDs Representing FSMs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF input encoding, finite state machines, binary decision diagrams, multi-valued decision diagrams
9Youpyo Hong, Peter A. Beerel, Luciano Lavagno, Ellen Sentovich Don't Care-Based BDD Minimization for Embedded Software. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
9Pai H. Chou, Gaetano Borriello Modal Processes: Towards Enhanced Retargetability Through Control Composition of Distributed Embedded Systems. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Shankar G. Govindaraju, David L. Dill, Alan J. Hu, Mark Horowitz Approximate Reachability with BDDs Using Overlapping Projections. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF transceiver, spread spectrum communication, RF CMOS, digital radio, ISM frequency band
9Timothy Kam, Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Theory and algorithms for state minimization of nondeterministic FSMs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Wayne H. Wolf Redundancy Removal during High-Level Synthesis Using Scheduling Don't-Cares. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF scheduling dont-care, high-level synthesis, redundancy
9Hiroaki Iwashita, Tsuneo Nakata Forward model checking techniques oriented to buggy designs. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF symbolic state traversal, forward model checking, formal verification, symbolic model checking
9Antonio Lioy, Enrico Macii, Massimo Poncino, Massimo Rossello Accurate Entropy Calculation for Large Logic Circuits Based on Output Clustering. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Mandyam-Komar Srinivas, James Jacob, Vishwani D. Agrawal Functional test generation for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Enrique Alba 0001, José M. Troya Genetic Algorithms for Protocol Validation. Search on Bibsonomy PPSN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Uwe Wildner Compiler Assisted Self-checking of Structural Integrity Using Return Address Hashing. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Ellen Sentovich, Horia Toma, Gérard Berry Latch optimization in circuits generated from high-level descriptions. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF sequential optimisation, high-level synthesis, state assignment
9K. Vijayananda Distributed fault detection in communication protocols using extended finite state machines. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF distributed fault detection, run-time fault detection, coding defects, memory problems, protocol faults, vocabulary faults, sequencing faults, parallel decomposition method, multiple observers, distributed fault detection mechanism, fault tolerant computing, finite state machines, transport protocols, encoding, communication protocols, fault coverage, extended finite state machines
9Jun Bi, Jianping Wu A STREAMS based high performance IP/X.25 router. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF IP/X.25, TCP/IP networks, connection oriented subnetwork, performance evaluation, STREAMS, finite state machine, interconnection, Unix, transport protocols, high performance, internetworking, internetworking, interoperability testing, communication software
9Murali M. R. Gala, Don E. Ross, Karan L. Watson, Beena Vasudevan, Peter Utama Built-in self test for C-testable ILA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Luis Entrena-Arrontes, Kwang-Ting Cheng Combinational and sequential logic optimization by redundancy addition and removal. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Sukumar Nandi, Parimal Pal Chaudhuri Theory and applications of cellular automata for synthesis of easily testable combinational logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable combinational logic, combinational logic blocks, test machine, data path synthesis phase, autonomous mode, aliasing error probability, associated lines, test application overheads, test parallelism, simultaneous testing, multiple combinational modules, graph theory, fault diagnosis, logic testing, high level synthesis, test generation, cellular automata, cellular automata, design for testability, combinational circuits, logic CAD, stuck-at faults, shift registers, cost effectiveness, registers, test vectors, test responses, state transition graph
9Heikki Saastamoinen, George M. White On handling exceptions. Search on Bibsonomy COOCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Tan-Li Chou, Kaushik Roy 0001 Estimation of sequential circuit activity considering spatial and temporal correlations. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential circuit activity estimation, signal activity, internal nodes, sequential logic circuits, logic signals, ESTG, extended state transition graph, exact signal probabilities, large circuits, state logic, logic simulation results, graph theory, finite state machines, finite state machine, sequential circuits, spatial correlations, circuit switching, switching activities, approximate method, temporal correlations
9Said Amellal, Bozena Kaminska Functional synthesis of digital systems with TASS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Luca Benini, Polly Siegel, Giovanni De Micheli Saving Power by Synthesizing Gated Clocks for Sequential Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Adnan Aziz, Thomas R. Shiple, Vigyan Singhal Formula-Dependent Equivalence for Compositional CTL Model Checking. Search on Bibsonomy CAV The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Chuan-Jin Shi, Janusz A. Brzozswski An efficient algorithm for constrained encoding and its applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer Delay-fault test generation and synthesis for testability under a standard scan design methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Hyunwoo Cho, Gary D. Hachtel, Fabio Somenzi Redundancy identification/removal and test generation for sequential circuits using implicit state enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz, Kwang-Ting Cheng STOIC: state assignment based on output/input functions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Margot Karam, Gabriele Saucier Functional versus random test generation for sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF simulation, Finite state machine, functional testing, graph traversal
9Toshimasa Watanabe, Toshiya Mashima, Satoshi Taoka The k-Edge-Connectivity Augmentation Problem of Weighted Graphs. Search on Bibsonomy ISAAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
9Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Test generation and verification for highly sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
9Fabrizio Lombardi, Yinan N. Shen, Hannu H. Kari On a new approach for enhancing the fault coverage of conformance testing of protocols. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
9Tiziano Villa, Alberto L. Sangiovanni-Vincentelli NOVA: state assignment of finite state machines for optimal two-level logic implementation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9David Binger, David Knapp Automatic synthesis of a dual-PLA controller with a counter. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
9Vishwani D. Agrawal, Kwang-Ting Cheng An architecture for synthesis of testable finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9Siegfried I. Mensch, Hans Martin Lipp Fuzzy specification of finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9Kassem Saleh, Robert L. Probert Synthesis of Error-Recoverable Protocol Specifications from Service Specifications. Search on Bibsonomy ICCI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF syntactic correctness, synthesis, error-recovery, protocol design, semantic correctness, Communication software
9Pranav Ashar, Srinivas Devadas, A. Richard Newton A Unified Approach to the Decomposition and Re-Decomposition of Sequential Machines. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Verification of Interacting Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9Dwight D. Hill, Bryan Preas Benchmarks for Cell Synthesis. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9Doron Drusinsky, David Harel Using statecharts for hardware description and synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
9Alexandre Bronstein, Carolyn L. Talcott Formal Verification of Synchronous Circuits based on String-Functional Semantics: The 7 Paillet Circuits in Boyer-Moore. Search on Bibsonomy Automatic Verification Methods for Finite State Systems The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
9Tiziano Villa, Alberto L. Sangiovanni-Vincentelli NOVA: State Assignment of Finite State Machines for Optimal Two-level Logic Implementations. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
9Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli MUSTANG: state assignment of finite state machines targeting multilevel logic implementations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
9Franz Pichler Finite State Machine Modelling of Cryptographic Systems in Loops. Search on Bibsonomy EUROCRYPT The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
9Rainer Brück 0001, Bernd Kleinjohann, Thomas Kathöfer, Franz J. Rammig Synthesis of concurrent modular controllers from algorithmic descriptions. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
9Krishan K. Sabnani, Anton T. Dahbura A new technique for generating protocol test. Search on Bibsonomy SIGCOMM The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #898 of 898 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license