|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1797 occurrences of 735 keywords
|
|
|
Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Jia Wang 0003, Kun Li, Qin Lv, Hai Zhou 0001, Li Shang |
Hybrid energy storage system integration for vehicles. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
electric-drive vehicles, energy storage systems, analysis |
1 | Lang Lin, Daniel E. Holcomb, Dilip Kumar Krishnappa, Prasad Shabadi, Wayne P. Burleson |
Low-power sub-threshold design of secure physical unclonable functions. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
embedded system security, sub-threshold circuits, RFID, physical unclonable function |
1 | Shaobo Liu, Jun Lu, Qing Wu 0002, Qinru Qiu |
Load-matching adaptive task scheduling for energy efficiency in energy harvesting real-time embedded systems. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
embedded system, real time, task scheduling, energy harvest |
1 | Marshnil Vipin Dave, Rajkumar Satkuri, Mahavir Jain, Maryam Shojaei Baghini, Dinesh Kumar Sharma |
Low-power current-mode transceiver for on-chip bidirectional buses. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
current-mode signaling, driver pre-emphasis, interconnects |
1 | Ahmed M. Amin, Zeshan Chishti |
Rank-aware cache replacement and write buffering to improve DRAM energy efficiency. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
DRAM energy efficiency, cache replacement, write buffer |
1 | Yiran Chen 0001, Hai Li 0001, Xiaobin Wang, Wenzhong Zhu, Wei Xu 0021, Tong Zhang 0002 |
Combined magnetic- and circuit-level enhancements for the nondestructive self-reference scheme of STT-RAM. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
STT-RAM, emerging memory, spintronic |
1 | Chao Lu 0005, Sang Phill Park, Vijay Raghunathan, Kaushik Roy 0001 |
Analysis and design of ultra low power thermoelectric energy harvesting systems. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
thermoelectric energy harvesting, ultra low power |
1 | Weixun Wang, Xiaoke Qin, Prabhat Mishra 0001 |
Temperature- and energy-constrained scheduling in multitasking systems: a model checking approach. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
dvs, temperature-aware, model checking, low power design |
1 | S. Krishna Kumar, S. Kaundinya, Subhadip Kundu, Santanu Chattopadhyay |
Customizing pattern set for test power reduction via improved X-identification and reordering. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
don't care bits, runtime leakage power, vector reordering, x-fill, dynamic power |
1 | Himanshu Markandeya, Georgios Karakonstantis, Shriram Raghunathan, Pedro P. Irazoqui, Kaushik Roy 0001 |
Low-power DWT-based quasi-averaging algorithm and architecture for epileptic seizure detection. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
low power, epilepsy, biomedical, seizure detection |
1 | Mitchelle Rasquinha, Dhruv Choudhary, Subho Chatterjee, Saibal Mukhopadhyay, Sudhakar Yalamanchili |
An energy efficient cache design using spin torque transfer (STT) RAM. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
(STT)RAM, memory technologies, cache design |
1 | Tae-Hwan Kim, In-Cheol Park |
Small-area and low-energy K-best MIMO detector using relaxed tree expansion and early forwarding. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
small area, VLSI, energy efficiency, multi-input multi-output (MIMO), sphere decoding |
1 | Dursun Baran, Mustafa Aktan, Vojin G. Oklobdzija |
Energy efficient implementation of parallel CMOS multipliers with improved compressors. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
arithmetic and logic structures, VLSI, low-power design, high- speed arithmetic, booth encoding |
1 | Jean-Michel Chabloz, Ahmed Hemani |
Distributed DVFS using rationally-related frequencies and discrete voltage levels. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
GRLS, DVFS, GALS |
1 | Saurabh Sinha, Jounghyuk Suh, Bertan Bakkaloglu, Yu Cao 0001 |
Workload-aware neuromorphic design of low-power supply voltage controller. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
neuromorphic engineering, DVS, spiking neurons |
1 | Benjamin Vigoda, David Reynolds, Jeffrey Bernstein, Theophane Weber, Bill Bradley |
Low power logic for statistical inference. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
device physics, probability programming language, stochastic circuits, fault tolerance, markov chain monte carlo, generative model, belief propagation, gibbs sampling, probabilistic graphical model |
1 | Amir Zjajo, Mingxin Song |
A low-power digitally-programmable variable gain amplifier in 65 nm CMOS. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
dicrete-time amplifier, variable gain amplifier, waveform generator |
1 | Wei Zhang 0032, Ki Chul Chun, Chris H. Kim |
Variation aware performance analysis of gain cell embedded DRAMs. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
bitline delay, gain cell, process variation, monte carlo simulation, embedded DRAM |
1 | Kevin J. Nowka |
Technology variability and uncertainty implications for power- efficient VLSI systems. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
tools, technology, cmos, circuits |
1 | Seungwhun Paik, Sangmin Kim, Youngsoo Shin |
Wakeup synthesis and its buffered tree construction for power gating circuit designs. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
wakeup synthesis, leakage, power gating |
1 | Leila Koushaeian, Stan Skafidas |
A 65nm CMOS low-power, low-voltage bandgapreference with using self-biased composite cascode opamp. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
bandgap voltage reference, self-biased, self-cascode, temperature coefficient, voltage reference |
1 | Subho Chatterjee, Sayeef S. Salahuddin, Satish Kumar, Saibal Mukhopadhyay |
Analysis of thermal behaviors of spin-torque-transfer RAM: a simulation study. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
MTJ, STTRAM, read disturb, self-heating, sensing accuracy |
1 | Hamid Shojaei, Tai-Hsuan Wu, Azadeh Davoodi, Twan Basten |
A pareto-algebraic framework for signal power optimization in global routing. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
pareto algebra, global routing, dynamic power |
1 | Ashutosh Chakraborty, David Z. Pan |
PASAP: power aware structured ASIC placement. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
structured ASICS, low power, placement, regular fabrics |
1 | Siddharth Garg, Diana Marculescu, Radu Marculescu |
Custom feedback control: enabling truly scalable on-chip power management for MPSoCs. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
distributed control, dynamic voltage/frequency scaling |
1 | Shahin Golshan, Eli Bozorgzadeh, Benjamin Carrión Schäfer, Kazutoshi Wakabayashi, Houman Homayoun, Alexander V. Veidenbaum |
Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
computer aided design, placement, dynamic reconfiguration, temperature, reconfigurable systems |
1 | Keith A. Bowman, James W. Tschanz, Shih-Lien Lu, Paolo A. Aseron, Muhammad M. Khellah, Arijit Raychowdhury, Bibiche M. Geuskens, Carlos Tokunaga, Chris Wilkerson, Tanay Karnik, Vivek De |
Resilient microprocessor design for high performance & energy efficiency. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
resilient design |
1 | Domenic Forte, Ankur Srivastava 0001 |
Energy and thermal-aware video coding via encoder/decoder workload balancing. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
distibuted video coding, multimedia applications, energy management, dynamic thermal management |
1 | Dimin Niu, Yiran Chen 0001, Yuan Xie 0001 |
Low-power dual-element memristor based memory design. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
memristor, low power, nonvolatile memory |
1 | Jian (Denny) Lin, Wei Song, Albert Mo Kim Cheng |
Real-energy: a new framework and a case study to evaluate power-aware real-time scheduling algorithms. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
leakage-aware, power-aware scheduling algorithms, dynamic voltage scaling, real-time and embedded systems |
1 | Ajith Amerasekera |
Ultra low power electronics in the next decade. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
low-power electronics, power management |
1 | Shrikanth Ganapathy, Ramon Canal, Antonio González 0001, Antonio Rubio 0001 |
MODEST: a model for energy estimation under spatio-temporal variability. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
dsm scaling, spatio-temporal variability, cache design |
1 | Pejman Lotfi-Kamran, Michael Ferdman, Daniel Crisan, Babak Falsafi |
TurboTag: lookup filtering to reduce coherence directory power. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
bloom, low power, filter, coherence, directory |
1 | Sangyoung Park, Jian-Jia Chen, Donghwa Shin, Younghyun Kim 0001, Chia-Lin Yang, Naehyuck Chang |
Dynamic thermal management for networked embedded systems under harsh ambient temperature variation. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
electronic control unit, embedded system, thermal management, automotive electronics |
1 | Gaurav Dhiman, Vasileios Kontorinis, Dean M. Tullsen, Tajana Rosing, Eric Saxe, Jonathan Chew |
Dynamic workload characterization for power efficient scheduling on CMP systems. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
power, multi-cores, workload characterization |
1 | Chien-Chun Lu, Ming-Ching Kuo |
A 5V output voltage boost switching converter with hybrid digital and analog PWM control. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
digital PWM, switching converter, boost |
1 | Bing Shi, Yufu Zhang, Ankur Srivastava 0001 |
Dynamic thermal management for single and multicore processors under soft thermal constraints. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
multi-core processor, dynamic thermal management |
1 | Massoud Pedram, Naehyuck Chang, Younghyun Kim 0001, Yanzhi Wang |
Hybrid electrical energy storage systems. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
electrical storage, energy storage, hybrid storage, management, energy, charge |
1 | Rajeev K. Dokania, Xiao Y. Wang, Carlos I. Dorta-Quinones, Waclaw Godycki, Siddharth G. Tallur, Alyssa B. Apsel |
A 6µw, 100kbps, 3-5ghz, UWB impulse radio transmitter. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
wireless sensor networks, low power, UWB, ultra-wideband, transmitter, impulse radio, pulse-shaping |
1 | Howard David, Eugene Gorbatov, Ulf R. Hanebutte, Rahul Khanna, Christian Le |
RAPL: memory power estimation and capping. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
measurements, power, DRAM memory |
1 | Rahul Singh, AhReum Kim, SoYoung Kim, Suhwan Kim |
A three-step power-gating turn-on technique for controlling ground bounce noise. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
mode transition, system-on-a-chip (SOC) design, power-gating, inductive noise, ground bounce |
1 | Karthick Rajamani, Freeman L. Rawson III, Malcolm S. Ware, Heather Hanson, John B. Carter, Todd J. Rosedahl, Andrew J. Geissler, Guillermo J. Silva, Hong Hua |
Power-performance management on an IBM POWER7 server. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
POWER7, SPECpower_ssj2008, fan control, power management, energy savings, DVFS |
1 | Sangwon Seo, Ronald G. Dreslinski, Mark Woh, Chaitali Chakrabarti, Scott A. Mahlke, Trevor N. Mudge |
Diet SODA: a power-efficient processor for digital cameras. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
digital still cameras, near-threshold, dynamic voltage scaling, SIMD |
1 | Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino |
Dynamic indexing: concurrent leakage and aging optimization for caches. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
leakage optimization, memory hierarchy, aging, NBTI |
1 | Chien-Ying Chen, Pai H. Chou |
DuraCap: a supercapacitor-based, power-bootstrapping, maximum power point tracking energy-harvesting system. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
cold booting, maximum power point tracking, supercapacitors |
1 | Alyssa Bonnoit, Lawrence T. Pileggi |
Reducing variability in chip-multiprocessors with adaptive body biasing. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
dynamic voltage/frequency scaling, body biasing |
1 | Hai Lin 0004, Yunsi Fei |
Exploring custom instruction synthesis for application-specific instruction set processors with multiple design objectives. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
asips, multi-objective design |
1 | Hamed F. Dadgour, Muhammad Mustafa Hussain, Kaustav Banerjee |
A new paradigm in the design of energy-efficient digital circuits using laterally-actuated double-gate NEMs. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
Boolean logic minimization, energy-efficient electronics, laterally-actuated NEMS, nanoelectromechanical switches, XOR gates |
1 | Yohei Nakata, Shunsuke Okumura, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto |
0.5-V operation variation-aware word-enhancing cache architecture using 7T/14T hybrid SRAM. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
fine-grain control, low power, cache memory, microarchitecture, variation, low voltage |
1 | Jibang Liu, Karthik Kumar, Yung-Hsiang Lu |
Tradeoff between energy savings and privacy protection in computation offloading. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
image retrieval, steganography, energy saving, privacy protection, computation offloading |
1 | Qiang Gao, Yin Shen, Yici Cai, Hailong Yao |
Analog circuit shielding routing algorithm based on net classification. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
analog routing, shielding routing, A* algorithm |
1 | Somnath Paul, Swarup Bhunia |
VAIL: variation-aware issue logic and performance binning for processor yield and profit improvement. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
narrow-width operand, superscalar processor, within-die variation |
1 | Raid Zuhair Ayoub, Krishnam Raju Indukuri, Tajana Simunic Rosing |
Energy efficient proactive thermal management in memory subsystem. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
performance, energy, thermal management, proactive, memory subsystem |
1 | Nadav Levison, Shlomo Weiss |
Low power branch prediction for embedded application processors. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
ARM cortex, BTB, mobile, embedded, power, battery |
1 | Younghyun Kim 0001, Naehyuck Chang, Yanzhi Wang, Massoud Pedram |
Maximum power transfer tracking for a photovoltaic-supercapacitor energy system. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
maximum power transfer tracking, photovoltaic, supercapacitor |
1 | Georgios Karakonstantis, Georgios Panagopoulos, Kaushik Roy 0001 |
HERQULES: system level cross-layer design exploration for efficient energy-quality trade-offs. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
energy efficient systems, optimal design criteria, voltage-scaling |
1 | Ryan Cochran, Abdullah Nazma Nowroz, Sherief Reda |
Post-silicon power characterization using thermal infrared emissions. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
power characterization, thermal infrared emissions |
1 | Nandish Ashutosh Mehta, Gururaj V. Naik, Bharadwaj S. Amrutur |
In-situ power monitoring scheme and its application in dynamic voltage and threshold scaling for digital CMOS integrated circuits. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
DVTS loop, in-situ power monitor, power optimum point, variable body bias, variable supply voltage, low power, ground bounce |
1 | Jaehyun Park 0005, Donghwa Shin, Naehyuck Chang, Massoud Pedram |
Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
DVS overhead model, PLL, DVFS, DC-DC converter |
1 | Ardalan Amiri Sani, Hasan Dumanli, Lin Zhong 0001, Ashutosh Sabharwal |
Power-efficient directional wireless communication on small form-factor mobile devices. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
passive directional antennas, mobile devices |
1 | Yibo Chen, Jishen Zhao, Yuan Xie 0001 |
3D-nonFAR: three-dimensional non-volatile FPGA architecture using phase change memory. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
non-volatile FPGA, phase-change memory, 3D IC |
1 | Felipe Klein, Alexandro Baldassin, João Moreira, Paulo Centoducatte, Sandro Rigo, Rodolfo Azevedo |
STM versus lock-based systems: an energy consumption perspective. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
lock-based systems, parallel programming, low power design, transactional memory, multi-core |
1 | Alireza Vahdatpour, Miodrag Potkonjak |
Leakage minimization using self sensing and thermal management. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
delay, thermal management, leakage energy |
1 | Mohammad Reza Kakoee, Ashoka Visweswara Sathanur, Antonio Pullini, Jos Huisken, Luca Benini |
Automatic synthesis of near-threshold circuits with fine-grained performance tunability. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
near threshold, sub-threshold performance, variability compensation, low power, ultra low power, dual VDD, sub-threshold |
1 | Vinayak Honkote, Baris Taskin |
PEEC based parasitic modeling for power analysis on custom rotary rings. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
resonant clocking, simulation, modeling, interconnect |
1 | David Meisner, Thomas F. Wenisch |
Peak power modeling for data center servers with switched-mode power supplies. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
switched-mode power supplies, peak power |
1 | Rakesh Kumar |
Computing with stochastic processors: revisiting the correctness contract between software and hardware. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
stochastic processor, reliability, error resilience, error tolerance |
1 | Moustafa Mohamed, Zheng Li, Xi Chen, Li Shang, Alan Rolf Mickelson, Manish Vachharajani, Yihe Sun |
Power-efficient variation-aware photonic on-chip network management. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
networks on chip, optical interconnects, nanophotonics |
1 | Ravi Nair |
Models for energy-efficient approximate computing. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
energy-efficient computing, prediction systems, approximate computing |
1 | Vojin G. Oklobdzija, Barry Pangle, Naehyuck Chang, Naresh R. Shanbhag, Chris H. Kim (eds.) |
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010 |
ISLPED |
2010 |
DBLP BibTeX RDF |
|
1 | Jungseob Lee, Chi-Chao Wang, Hamid Reza Ghasemi, Lloyd Bircher, Yu Cao 0001, Nam Sung Kim |
Workload-adaptive process tuning strategy for power-efficient multi-core processors. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
process parameter tuning, DVFS, multi-core processor |
1 | Feng Chen 0005, Xiaodong Zhang 0001 |
PS-BC: power-saving considerations in design of buffer caches serving heterogeneous storage devices. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
power management, energy saving, buffer caches, hard disk |
1 | Jeff Lee |
Battery management technology for an electric vehicle. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
battery, bms, electric vehicle |
1 | Justin Meza, Mehul A. Shah, Parthasarathy Ranganathan, Mike Fitzner, Judson Veazey |
Tracking the power in an enterprise decision support system. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
tpc-h, energy efficiency, power, decision support, energy |
1 | Madhu Saravana Sibi Govindan, Stephen W. Keckler, Doug Burger |
End-to-end validation of architectural power models. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
architectural power models, measurement, validation |
1 | Al Fazio |
Non volatile memories to enable system power scaling. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
low-power memory |
1 | David Bol, Denis Flandre, Jean-Didier Legat |
Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
adaptive circuits, subthreshold logic, variability, CMOS digital integrated circuits, ultra-low power |
1 | Xia Zhao, Yao Guo 0001, Xiangqun Chen |
Transaction-based adaptive dynamic voltage scaling for interactive applications. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
scheduling, interaction, dynamic voltage and frequency scaling |
1 | Fady Abouzeid, Sylvain Clerc, Fabian Firmin, Marc Renaudin, Gilles Sicard |
A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
bose choudhury hocquenghem, design, low power, methodology, logic, energy, cmos, library, circuit, subthreshold, ultra low voltage |
1 | Jinsik Kim, Pai H. Chou |
Remote progressive firmware update for flash-based networked embedded systems. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
clycomatic complexity, progressive code update |
1 | John B. Carter |
A look inside IBM's green data center research. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
special session, power management, data centers |
1 | Cullen E. Bash |
Sustainable IT ecosystems and data centers. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
energy efficiency, sustainability, data centers |
1 | Jiwei Fan, Xin Zhou, Liyu Yang, Alex Chien-Lin Huang |
A low power high noise immunity boost DC-DC converter using the differential difference amplifiers. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
boost converter, differential difference amplifier, duty ripple voltage, noise immunity |
1 | Gaurav Dhiman, Giacomo Marchetti, Tajana Rosing |
vGreen: a system for energy efficient computing in virtualized environments. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
virtualization, energy, migration, workload characterization |
1 | Soheil Modirzadeh, Brian Fuller, Sandeep Mirchandani, Jon McDonald, Ran Avinun, Camille Kokozaki |
It is all about power analysis, exploration and trade-offs. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
IDT, NXP, broadcom, cadence, system design and verification, technical panel, low power, low power design |
1 | Seungrok Jung, Jungsoo Kim, Sangkwon Na, Chong-Min Kyung |
Energy-aware instruction-set customization for real-time embedded multiprocessor systems. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
DVFS, instruction set extensions, configurable processors |
1 | Zheng Li, Jie Wu, Li Shang, Alan Rolf Mickelson, Manish Vachharajani, Dejan Filipovic, Wounjhang Park, Yihe Sun |
A high-performance low-power nanophotonic on-chip network. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
networks-on-chip, optical communication, silicon photonics |
1 | Clemens Moser, Jian-Jia Chen, Lothar Thiele |
Power management in energy harvesting embedded systems with discrete service levels. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
energy harvesting systems, embedded systems, power management, reward maximization, solar cells |
1 | Kevin Zhang 0001 |
Circuit design in nano-scale CMOS era: opportunities & challenges. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
VLSI, CMOS, circuit |
1 | Andrea Calimera, Enrico Macii, Massimo Poncino |
NBTI-aware power gating for concurrent leakage and aging optimization. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
aging, leakage, power-gating, nbti |
1 | Mrinmoy Ghosh, Emre Özer 0001, Simon Ford, Stuart Biles, Hsien-Hsin S. Lee |
Way guard: a segmented counting bloom filter approach to reducing energy for set-associative caches. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
low power, bloom filter |
1 | Hang Yu, Lin Zhong 0001, Ashutosh Sabharwal |
Adaptive RF chain management for energy-efficient spatial-multiplexing MIMO transmission. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
RF chain management, energy efficiency, MIMO |
1 | Alyssa Bonnoit, Sebastian Herbert, Diana Marculescu, Lawrence T. Pileggi |
Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
dynamic voltage / frequency scaling, body biasing |
1 | Daeyeon Kim, Yoonmyung Lee, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester, Dennis Sylvester, David T. Blaauw |
Low power circuit design based on heterojunction tunneling transistors (HETTs). |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
SRAM design, low power applications, tunneling transistor |
1 | Yousra Alkabani, Farinaz Koushanfar, Miodrag Potkonjak |
N-version temperature-aware scheduling and binding. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
N-variants, high-level synthesis, temperature control |
1 | Jason Cong, Bin Liu 0006, Zhiru Zhang |
Behavior-level observability don't-cares and application to low-power behavioral synthesis. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
low power, observability, behavioral synthesis |
1 | Domenik Helms, Kai Hylla, Wolfgang Nebel |
Hybrid logical-statistical simulation with thermal and IR-drop mapping for degradation and variation prediction. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
electro-thermal coupling, system level estimation, reliability, thermal modelling, IR-drop |
1 | Yuwen Sun, Shimeng Huang, Joseph Oresko, John Krais, Allen C. Cheng |
A programmable implementation of neural signal processing on a smartdust for brain-computer interfaces. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
brain-implantable computing, smartdust, brain-computer interface, tinyOS, DVFS |
1 | Aida Todri, Malgorzata Marek-Sadowska |
Electromigration study of power-gated grids. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
electromigration, power network |
1 | Suman Datta, Vijaykrishnan Narayanan |
Green transistors to green architectures. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
performance, design, transistor |
|
|