The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for circuit with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (16) 1959-1960 (20) 1961 (37) 1962 (20) 1963 (22) 1964 (30) 1965 (40) 1966-1968 (29) 1969-1970 (22) 1971-1972 (22) 1973 (16) 1974-1975 (39) 1976 (30) 1977 (28) 1978 (26) 1979 (29) 1980 (29) 1981 (26) 1982 (53) 1983 (54) 1984 (68) 1985 (89) 1986 (86) 1987 (91) 1988 (217) 1989 (205) 1990 (306) 1991 (257) 1992 (292) 1993 (420) 1994 (429) 1995 (744) 1996 (603) 1997 (602) 1998 (633) 1999 (897) 2000 (840) 2001 (843) 2002 (1113) 2003 (1371) 2004 (1403) 2005 (1935) 2006 (1900) 2007 (2030) 2008 (1770) 2009 (1325) 2010 (764) 2011 (960) 2012 (852) 2013 (942) 2014 (792) 2015 (1075) 2016 (980) 2017 (1237) 2018 (1179) 2019 (1213) 2020 (1213) 2021 (1369) 2022 (1441) 2023 (1637) 2024 (395)
Publication types (Num. hits)
article(14766) book(35) data(23) incollection(137) inproceedings(21872) phdthesis(236) proceedings(37)
Venues (Conferences, Journals, ...)
Int. J. Circuit Theory Appl.(3099) ECCTD(1441) IEEE Trans. Comput. Aided Des....(1380) ISCAS(1360) DAC(901) CoRR(752) PATMOS(650) ICCAD(638) IEEE Trans. Very Large Scale I...(570) VLSI Design(569) DATE(537) IEEE Access(458) ASP-DAC(445) ISQED(438) IEEE Trans. Ind. Electron.(409) SMACD(397) More (+10 of total 2506)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15796 occurrences of 4131 keywords

Results
Found 37106 publication records. Showing 37106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Lutz Finger The Josephson junction circuit family: network theory. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Sami Barmada, Marco Raugi A general tool for circuit analysis based on wavelet transform. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Matthew Worsman, Mike W. T. Wong Non‐linear analog circuit fault diagnosis with large change sensitivity. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Marco Storace, Mauro Parodi, Dario Robatto A hysteresis‐based chaotic circuit: dynamics and applications. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Péter Szolgay, Katalin Tömördi Analogic algorithms for optical detection of breaks and short circuits on the layouts of printed circuit boards using CNN. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Spiridon Nikolaidis 0001, Alexander Chatzigeorgiou Analytical estimation of propagation delay and short‐circuit power dissipation in CMOS gates. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17 Sandberg IW, 'Multidimensional linear systems: the extra term'. International Journal of Circuit Theory and Applications 1999; 27(4): 415-420. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Mauro Parodi, Marco Storace, Carlo S. Regazzoni Circuit realization of Markov random fields for analog image processing. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Marco Storace, Mauro Parodi On the representation of static hysteresis curves by a PWL ladder circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Udo Jörges, G. Jummel A macromodel of the transfer characteristics of chopper-stabilized operational amplifiers oriented by the circuit structure. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Lubomir V. Kolev, Valeri M. Mladenov Use of interval slopes in implementing an interval method for global non-linear DC circuit analysis. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Mauro Parodi, Marco Storace On a circuit representation of the Hodgkin and Huxley nerve axon membrane equations. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Feng Lin, Zheng-Hui Lin, T. William Lin A uniform approach to mixed-signal circuit test. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Qicheng Yu, Carl Sechen Generation of colour-constrained spanning trees with application in symbolic circuit analysis. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Mauro Parodi, Marco Storace, Silvano Cincotti Static and dynamic hysteretic features in a PWL circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Hans Georg Brachtendorf, Günther Welsch, Rainer Laur A simulation tool for the analysis and verification of the steady state of circuit designs. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Guntram E. Müller-Liebler PastA - the characterization of the inherent fluctuations in the fabrication process for circuit simulation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Theodore Karoubalis, Kostas Adaos, George Alexiou, Nick Kanopoulos A new efficient dcvs circuit synthesis technique used for an improved implementation of a serial/parallel multiplier. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Samuil L. Farchy, Elisaveta D. Gadzheva, Lyudmila H. Raykovska, Todor G. Kouyoumdjiev Nullator-norator approach to analogue circuit diagnosis using general-purpose analysis programmes. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Wim Kruiskamp, Domine Leenaerts Darwin: Analogue circuit synthesis based on genetic algorithms. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Leon O. Chua Chua's circuit 10 years later. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Renzo Perfetti On the OP-amp based circuit design of cellular neural networks. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Hervé Dedieu, Catherine Dehollain, Jacques Neirynck, Graham Rhodes New broadband-matching circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Lubomir V. Kolev, Valeri M. Mladenov An interval method for global non-linear dc circuit analysis. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Tadej Tuma, Franc Bratkovic A general approach to circuit equations. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Giuseppe Martinelli, Renzo Perfetti Circuit theoretic approach to the tank-hopfield A/D converter. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Mauro Parodi, Marco Storace, Silvano Cincotti A PWL ladder circuit which exhibits hysteresis. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Dario D'Amore, William Fornaciari A spice-based approach to steady state circuit analysis. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Shoba Krishnan, Chin-Long Wey An accurate reference-generating circuit for successive approximation current mode A/D converters. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Martin Hasler The backpropagation learning algorithm realized by an analogue circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Michael Peter Kennedy Synthesis of continuous three-segment voltage-controlled piecewise-linear resistors for Chua's circuit family using operational amplifiers, diodes and linear resistors. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17José M. Cruz, Leon O. Chua An IC diode for Chua's circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Cüneyt Güzelis Chaotic Cellular Neural Networks Made of Chua's Circuits. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Michal Misiurewicz Unimodal interval Maps obtained from the modified Chua equations. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Ljupco Kocarev, K. Sean Halle, Kevin Eckert, Leon O. Chua, Ulrich Parlitz Experimental Demonstration of Secure Communications via Chaotic Synchronization. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17K. Sean Halle, Leon O. Chua, Vadim S. Anishchenko, M. A. Safonova Signal Amplification via Chaos: Experimental Evidence. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Vladimir N. Belykh, N. N. Verichev, Ljupco Kocarev, Leon O. Chua On Chaotic Synchronization in a linear array of Chua's Circuits. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Maciej J. Ogorzalek, Zbigniew Galias Characterisation of Chaos in Chua's oscillator in Terms of unstable periodic orbits. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Philippe Deregel Chua's oscillator: a Zoo of attractors. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17L. P. Shil'nikov Strange attractors and dynamical Models. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Gennady A. Leonov, D. V. Ponomarenko, Vera B. Smirnova, Leon O. Chua Global stability and instability of Canonical Chua's Circuits. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Vicente Pérez-Muñuzuri, V. Pérez-Villar, Leon O. Chua Traveling wave front and its Failure in a One-dimensional array of Chua's Circuits. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Ulrich Parlitz, Leon O. Chua, Ljupco Kocarev, K. Sean Halle, Alain Shang Transmission of Digital signals by Chaotic Synchronization. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Vladimir I. Nekorkin, Leon O. Chua Spatial Disorder and wave Fronts in a Chain of coupled Chua's Circuits. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Valentin S. Afraimovich, Leon O. Chua Enigma of the double-scroll Chua's Attractor. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Ljupco Kocarev, Tamás Roska Dynamics of the Lorenz equation and Chua's equation: a Tutorial. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Ljupco Kocarev, Alain Shang, Leon O. Chua A Unified method of control and Synchronization of Chaos. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Josef A. Nossek, Gerhard Seiler, Tamás Roska, Leon O. Chua Cellular neural networks: Theory and circuit design. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Christopher Michael, Christopher J. Abel, Mohammed Ismail 0001 SMOS: A CAD-compatible statistical model for analogue mos integrated circuit simulation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17D. Golzio, S. Graffi, Zsolt Miklós Kovács-Vajna, G. Masetti Circuit macromodels and large-signal behaviour of fet-input operational amplifiers. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Xiangming Xiao, Robert Spence Trade-off prediction and circuit performance optimization using a second-order model. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Magatte Diouf, Charles-Henri Carlin Relaxation-based computation of a synchronous sequential circuit stable state. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Domine M. W. Leenaerts, Johannes A. Hegt Finding all solutions of piecewise linear functions and application to circuit design. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Tat-Kwan Yu, Sung-Mo Kang, Jerome Sacks, William J. Welch Parametric yield optimization of CMOS analogue circuits by quadratic statistical circuit performance models. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17A. H. M. Saleh, Donard de Cogan An equivalent circuit for the analysis of transmission line matrix (tlm) scattering algorithms. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17R. M. Biernacki, M. A. Styblinski Efficient performance function interpolation scheme and its application to statistical circuit design. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Michael Peter Kennedy, Leon O. Chua Hysteresis in electronic circuits: A circuit theorist's perspective. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Claus Kahlert Dynamics of the inclusions appearing in the return maps of chua's circuit. Part II: The annihilation mechanism. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Marc Fosseprez, Martin Hasler Resistive circuit topologies that admit several solutions. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Tamás Roska Limitations and complexity of digital hardware simulators used for large-scale analogue circuit and system dynamics. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Basab Datta, Wayne P. Burleson Circuit-level NBTI macro-models for collaborative reliability monitoring. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF macro-models, on-chip sensors, calibration, NBTI
17Yalei Cui, Zibin Dai The Research of NULL Convention Logic Circuit Computing Model Targeted at Block Cipher Processing. Search on Bibsonomy IAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17He Peng, Chung-Kuan Cheng Parallel transistor level circuit simulation using domain decomposition methods. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Ming-Chien Tsai, Ching-Hwa Cheng A full-synthesizable high-precision built-in delay time measurement circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Zhimin Chen 0002, Syed Haider, Patrick Schaumont Side-Channel Leakage in Masked Circuits Caused by Higher-Order Circuit Effects. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Takushi Tanaka Deriving Electrical Dependencies from Circuit Topologies Using Logic Grammar. Search on Bibsonomy KES (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Hiroki Sunagawa, Haruhiko Terada, Akira Tsuchiya, Kazutoshi Kobayashi, Hidetoshi Onodera Erect of regularity-enhanced layout on printability and circuit performance of standard cells. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Xin He, Syed Al-Kadry, Afshin Abdollahi Adaptive leakage control on body biasing for reducing power consumption in CMOS VLSI circuit. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Cheng Jia, Linda S. Milor A BIST Circuit for DLL Fault Detection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tobias Massier, Helmut E. Graeb, Ulf Schlichtmann The Sizing Rules Method for CMOS and Bipolar Analog Integrated Circuit Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Dimitrios K. Konstantinou, Michael G. Dimopoulos, Dimitris K. Papakostas, Alkis A. Hatzopoulos, Alexios Spyronasios Testing an Emergency Luminaire Circuit Using a Fault Dictionary Approach. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Xin Fu, Tao Li, José A. B. Fortes Combined circuit and microarchitecture techniques for effective soft error robustness in SMT processors. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Zhusong Liu, Simin Yu, Guobo Xie, Yijun Liu A Novel Fourth-Order Chaotic Circuit and Its Implementation. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ranko Sredojevic, Vladimir Stojanovic Optimization-based framework for simultaneous circuit-and-system design-space exploration: a high-speed link example. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Rajesh Garg, Sunil P. Khatri A novel, highly SEU tolerant digital circuit design approach. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17John Oliver, Mark Lehne, Krishna Vummidi, Amy Bell, Sanjay Raman A low power CMOS sigma-delta readout circuit for heterogeneously integrated chemoresistive micro-/nano- sensor arrays. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jayawan H. B. Wijekoon, Piotr Dudek Integrated circuit implementation of a cortical neuron. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Daisuke Atuti, Kazuki Nakada, Takashi Morie CMOS pulse-modulation circuit implementation of phase-locked loop neural networks. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Nader Safavian, G. Reza Chaji, Karim S. Karim, John A. Rowlands A novel hybrid active-passive pixel with correlated double sampling CMOS readout circuit for medical x-ray imaging. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Peng Xu, Timothy K. Horiuchi, Pamela Abshire Stochastic model and simulation of a random number generator circuit. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Toru Tanzawa A process- and temperature-tolerant power-on reset circuit with a flexible detection level higher than the bandgap voltage. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yue Chi, Zhushi Xie, Kewen Xia, Xin Liu Static Power Optimization for CMOS Combinational Circuit Based on Bacterial Colony Chemotaxis Algorithm. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Minglang Lin, Ahmet T. Erdogan, Tughrul Arslan, Adrian Stoica A novel CMOS exponential approximation circuit. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Farhad Alibeygi Parsan, Ahmad Ayatollahi A comparator-based switched-capacitor integrator using a new charge control circuit. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Suganth Paul, Rajesh Garg, Sunil P. Khatri Pipelined network of PLA based circuit design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronous, pipelining, PLA
17Wenping Wang, Shengqi Yang, Yu Cao 0001 Node Criticality Computation for Circuit Timing Analysis and Optimization under NBTI Effect. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ming-Chien Tsai, Ching-Hwa Cheng, Chiou-Mao Yang An All-Digital High-Precision Built-In Delay Time Measurement Circuit. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Zhihua Wang 0001, Songping Mai, Chun Zhang Power Issues on Circuit Design for Cochlear Implants. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, power efficiency, cochlear implant
17Natalie D. Enright Jerger, Mikko H. Lipasti, Li-Shiuan Peh Circuit-Switched Coherence. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rajeev R. Rao, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yokesh Kumar, Prosenjit Gupta An External Memory Circuit Validation Algorithm for Large VLSI Layouts. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Almitra Pradhan, Ranga Vemuri Regression based circuit matrix models for accurate performance estimation of analog circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Wenqian Li, Hanwu Chen, Zhiqiang Li 0001 Application of Semi-Template in Reversible Logic Circuit. Search on Bibsonomy CSCWD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Gilles Audemard, Lakhdar Sais Circuit Based Encoding of CNF Formula. Search on Bibsonomy SAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Frank Liu 0001 An efficient method for statistical circuit simulation. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Mingjing Chen, Alex Orailoglu Circuit-level mismatch modelling and yield optimization for CMOS analog circuits. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Nader Safavian, G. Reza Chaji, Shahin Jafarabadi-Ashtiani, Arokia Nathan, John A. Rowlands A novel current scaling active pixel sensor with correlated double sampling readout circuit for real time medical x-ray imaging. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Martin Di Federico, Pedro Julián, Tomaso Poggi, Marco Storace A Simplicial PWL Integrated Circuit Realization. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jayawan H. B. Wijekoon, Piotr Dudek Spiking and Bursting Firing Patterns of a Compact VLSI Cortical Neuron Circuit. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kunhyuk Kang, Keejong Kim, Ahmad E. Islam, Muhammad Ashraful Alam, Kaushik Roy 0001 Characterization and Estimation of Circuit Reliability Degradation under NBTI using On-Line IDDQ Measurement. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 37106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license