The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnection with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1972 (15) 1973-1976 (19) 1977-1979 (26) 1980 (19) 1981 (32) 1982 (48) 1983 (36) 1984 (34) 1985 (48) 1986 (62) 1987 (65) 1988 (81) 1989 (98) 1990 (110) 1991 (123) 1992 (134) 1993 (144) 1994 (198) 1995 (181) 1996 (204) 1997 (207) 1998 (153) 1999 (178) 2000 (199) 2001 (145) 2002 (215) 2003 (215) 2004 (257) 2005 (334) 2006 (312) 2007 (327) 2008 (315) 2009 (242) 2010 (138) 2011 (138) 2012 (132) 2013 (121) 2014 (103) 2015 (113) 2016 (122) 2017 (124) 2018 (95) 2019 (102) 2020 (95) 2021 (81) 2022 (84) 2023 (81) 2024 (17)
Publication types (Num. hits)
article(2309) book(5) data(1) incollection(17) inproceedings(3883) phdthesis(95) proceedings(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6978 occurrences of 2230 keywords

Results
Found 6322 publication records. Showing 6322 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Krishnan Padmanabhan The composite binary cube - a family of interconnection networks for multiprocessors. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Haim E. Mizrahi, Jean-Loup Baer, Edward D. Lazowska, John Zahorjan Introducing Memory into Switch Elements of Multiprocessor Interconnection Networks. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Suresh C. Kothari, Anita Jhunjhunwala Performance Analysis of Multipath Mulistage Interconnection Networks. Search on Bibsonomy SIGMETRICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16R. E. Buehrer A High Performance Interconnection Concept for Dataflow - or Other Closely Coupled Multiprocessors. Search on Bibsonomy CONPAR The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16Zhixi Fang, Jitender S. Deogun Conflict resolution algorithm for interconnection networks (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Douglas Stott Parker Jr., Cauligi S. Raghavendra The Gamma network: A multiprocessor interconnection network with redundant paths. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
16Laxmi N. Bhuyan, Dharma P. Agrawal A general class of processor interconnection strategies. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
16Hoo-Min D. Toong, Svein O. Strommen, Earl R. Goodrich II A Gemeral Multi-Microprocessor Interconnection Mechanism for Non-Numeric Processing. Search on Bibsonomy Computer Architecture for Non-Numeric Processing The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Kenneth J. Thurber Interconnection networks: a survey and assessment. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
14José L. Abellán, Juan Fernández 0001, Manuel E. Acacio Efficient and scalable barrier synchronization for many-core CMPs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF g-line-based barrier synchronization, global interconnection lines, many-core cmps, s-csma technique
14Colin J. Ihrig, Rami G. Melhem, Alex K. Jones Automated modeling and emulation of interconnect designs for many-core chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, interconnection network, emulation, multi-core, many-core
14Mitchell Hayenga, Natalie D. Enright Jerger, Mikko H. Lipasti SCARAB: a single cycle adaptive routing and bufferless network. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, interconnection networks, multi-core
14Dukgwon Lee, Seunghyun Beak, Youngmin Lee, Eunser Lee, Jungkook Kim, Gyung-Leen Park, Taikyeong Jeong Minimize the delay of parasitic capacitance and modeling in RLC circuit. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Elmore, parasitic capacitance, delay, interconnection, oscillator
14Marti A. Motoyama, George Varghese CrossTalk: scalably interconnecting instant messaging networks. Search on Bibsonomy WOSN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection, DHT, instant messaging, XMPP
14Yamin Li, Shietung Peng, Wanming Chu The Recursive Dual-Net and Its Applications. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Interconnection networks and routing algorithm
14Serge Burckel, Emeric Gioan, Emmanuel Thomé Mapping Computation with No Memory. Search on Bibsonomy UC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mapping computation, boolean mapping, linear mapping, processor optimization, multistage interconnection network, circuit design, memory optimization, butterfly, program design, rearrangeability
14Po-Yang Hsu, Shu-Ting Lee, Fu-Wei Chen, Yi-Yu Liu Buffer design and optimization for lut-based structured ASIC design styles. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF structured asic, interconnection, buffer insertion
14Cyriel Minkenberg, Germán Rodríguez Herrera Trace-driven co-simulation of high-performance computing systems using OMNeT++. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection network, high-performance computing, PDES
14Dennis Abts, Natalie D. Enright Jerger, John Kim, Dan Gibson, Mikko H. Lipasti Achieving predictable performance through better memory controller placement in many-core CMPs. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection networks, chip multiprocessors, routing algorithms, memory controllers
14Dajin Wang On Embedding Hamiltonian Cycles in Crossed Cubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Embedding, Network topology, Hamiltonian cycles, Interconnection architectures, Crossed cube
14Rachid El Azouzi, Ralph El Khoury, Abdellatif Kobbane, Essaid Sabir On Extending Coverage of UMTS Networks Using an Ad-Hoc Network with Weighted Fair Queueing. Search on Bibsonomy Networking The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing ad-hoc, stability, Interconnection, UMTS, cross-layer
14Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, Nader Bagherzadeh A Generic Network Interface Architecture for a Networked Processor Array (NePA). Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Networked Processor Array (NePA), Multiprocessor System-on-Chip (MPSoC), Interconnection Network, Network Interface, Network-on-Chip (NoC)
14Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López 0001, José Duato On the Influence of the Packet Marking and Injection Control Schemes in Congestion Management for MINs. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF message throttling, Interconnection networks, congestion management
14Qimin Yang Optimum Routing and Forwarding Arrangement in Bufferless Data Vortex Switch Network. Search on Bibsonomy ICN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Data Vortex, bufferless, interconnection networks, packet switching
14Terrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk Global interconnections in FPGAs: modeling and performance analysis. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, throughput, interconnection, wave-pipelined
14Santanu Kundu, Santanu Chattopadhyay Mesh-of-tree deterministic routing for network-on-chip architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF deterministic routing., mesh-of-tree (mot), interconnection networks, system-on-chip (soc), network-on-chip (noc)
14Wolfgang E. Denzel, Jian Li 0059, Peter Walker, Yuho Jin A framework for end-to-end simulation of high-performance computing systems. Search on Bibsonomy SimuTools The full citation details ... 2008 DBLP  DOI  BibTeX  RDF end-to-end simulation, interconnection network, high-performance computing
14Saeedeh Bakhshi, Hamid Sarbazi-Azad Efficient VLSI Layout of Edge Product Networks. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Edge graph product, Collinear layout, Interconnection networks, Networks on chip, VLSI layout
14Keiichi Kaneko, Shietung Peng Node-to-Set Disjoint Paths Routing in Dual-Cube. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF node-to-set routing, dual-cube, algorithm, interconnection network
14Yatin Hoskote, Sriram R. Vangal, Arvind P. Singh, Nitin Borkar, Shekhar Borkar A 5-GHz Mesh Interconnect for a Teraflops Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnection fabric, network on chip, mesh, router, CMOS digital integrated circuits, crossbar
14Rongsen He, José G. Delgado-Frias Fault Tolerant Interleaved Switching Fabrics For Scalable High-Performance Routers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interleaved switching fabrics, RAIF (Redundant Array of Independent Fabrics), I-Cubeout network, multistage interconnection network (MIN)
14Akiya Jouraku, Michihiro Koibuchi, Hideharu Amano An Effective Design of Deadlock-Free Routing Algorithms Based on 2D Turn Model for Irregular Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnection networks, Adaptive routing, PC clusters, deadlock avoidance, irregular topologies, system area networks, turn model
14Yu-Wei Chen A Comment on 'The Exchanged Hypercube'. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF exchanged hypercube, incremental expandability, number of links, Interconnection network
14Samia Loucif, Mohamed Ould-Khaoua, Geyong Min A queueing model for predicting message latency in uni-directional k -ary n -cubes with deterministic routing and non-uniform traffic. Search on Bibsonomy Clust. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF M/G/1 queueing systems, Interconnection networks, Performance modelling, Hot spot, k-ary n-cubes, Deterministic routing
14Yun Sun, Zhoujun Li 0001, Deqiang Wang Hamiltonian Property on Binary Recursive Networks. Search on Bibsonomy FAW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF binary recursive networks, interconnection network, hypercube, Hamiltonian cycle
14Yun Sun, Zhoujun Li 0001, Deqiang Wang Hamiltonicity and Pancyclicity of Binary Recursive Networks. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Binary recursive networks, Interconnection network, Hypercube, Hamiltonian cycle, Pancyclicity
14Dennis Abts, Deborah K. Weisser Age-based packet arbitration in large-radix k-ary n-cubes. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, architecture, interconnection network, multiprocessor, packet-switching, torus, arbitration, MPP
14John Kim, William J. Dally, Dennis Abts Flattened butterfly: a cost-efficient topology for high-radix networks. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF flattened butterfly, global adaptive routing, high-radix routers, interconnection networks, topology, cost model
14Geyong Min, Yulei Wu, Lan Wang, Mohamed Ould-Khaoua Performance Modelling of Adaptive Routing in Hypercubic Networks under Non-Uniform and Batch Arrival Traffic. Search on Bibsonomy LCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hot-Spot Traffic, Compound Poisson Process (CPP), Performance Evaluation, Interconnection Networks
14Naoki Sawada, Keiichi Kaneko, Shietung Peng Pairwise Disjoint Paths in Pancake Graphs. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnection networks, polynomial time algorithm, disjoint paths
14Cyriel Minkenberg, François Abel, Peter Müller 0002, Raj Krishnamurthy, Mitchell Gusat, Peter Dill, Ilias Iliadis, Ronald P. Luijten, B. Roe Hemenway, Richard Grzybowski, Enrico Schiattarella Designing a Crossbar Scheduler for HPC Applications. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crossbar scheduler, interconnection network, high-performance computing
14Ching-Wen Chen Design schemes of dynamic rerouting networks with destination tag routing for tolerating faults and preventing collisions. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Destination tag routing, Fault tolerance, Performance, Parallel computing, Multistage interconnection network (MIN), Collision, Dynamic rerouting
14Slavko Gajin, Zoran Jovanovic Explanation of Performance Degradation in Turn Model. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection networks, adaptive routing, multicomputers, virtual channel, deterministic routing, wormhole, turn-model
14Geyong Min, Mohamed Ould-Khaoua, Demetres D. Kouvatsos, Irfan Awan Stochastic Analysis of Deterministic Routing Algorithms in the Presence of Self-Similar Traffic. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection networks, analytical modeling, virtual channels, message latency, dimension-ordered routing
14M. Reza HoseinyFarahabady, Hamid Sarbazi-Azad The Grid-Pyramid: A Generalized Pyramid Network. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF WK-recursive mesh, Hypermesh, Link-disjoint Hamiltonian cycles, Interconnection networks, Mesh, Torus, Hamiltonian cycle, Hamiltonian path, Pyramid, Hamiltonian-connectivity, Pancyclicity
14Hongbing Fan, Yu-Liang Wu, Ray Chak-Chung Cheung, Jiping Liu Decomposition Design Theory and Methodology for Arbitrary-Shaped Switch Boxes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable interconnection network, switch block, hyperuniversal, FPGA, universal, switch box
14Ingebjørg Theiss, Olav Lysne FRoots: A Fault Tolerant and Topology-Flexible Routing Technique. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF path redundancy, interconnection networks, Fault-tolerant routing, deadlock freedom
14Rizza Camus Caminero, Pavol Zavarsky, Yoshiki Mikami Status of the African Web. Search on Bibsonomy WWW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ccTLD, internet statistics, web tree, interconnection, web accessibility, privacy protection, web graph, Africa
14Thomas Sødring, Raúl Martínez, Geir Horn A Statistical Approach to Traffic Management in Source Routed Loss-Less Networks. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Statistical Quality of Service, Advanced Switching, Performance evaluation, Interconnection Networks, Networking protocol, Traffic Management
14Francisco Gilabert Villamón, María Engracia Gómez, Pedro López 0001, José Duato On the Influence of the Selection Function on the Performance of Fat-Trees. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF selection function, interconnection networks, adaptive routing, fat-tree
14Cruz Izu Throughput fairness in k-ary n-cube networks. Search on Bibsonomy ACSC The full citation details ... 2006 DBLP  BibTeX  RDF interconnection networks, fairness, network throughput, channel utilization
14Sun-Yuan Hsieh Fault-Free Pairwise Independent Hamiltonian Paths on Faulty Hypercubes. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pairwise independent Hamiltonian paths, Interconnection networks, hypercubes, Hamiltonian, fault-tolerant embedding
14Hsien-Jone Hsieh, Dyi-Rong Duh Constructing Node-Disjoint Paths in Enhanced Pyramid Networks. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Enhanced pyramid networks, pyramid networks, fault-tolerance, interconnection networks, container, node-disjoint paths, wide diameter
14Pradip Bose Presilicon modeling: challenges in the late CMOS era. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Integrated microarchitectures, special purpose accelerators, scalable on-chip interconnection network, presilicon modeling, CMOS
14José Duato, Olav Lysne, Ruoming Pang, Timothy Mark Pinkston Part I: A Theory for Deadlock-Free Dynamic Network Reconfiguration. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deadlock-freedom theory, system reliability and availability, Interconnection network, dynamic reconfiguration
14Catherine Decayeux, David Semé 3D Hexagonal Network: Modeling, Topological Properties, Addressing Scheme, and Optimal Routing Algorithm. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF routing, interconnection networks, Parallel architectures, communication algorithms, hexagonal mesh
14Behrooz Parhami, Mikhail A. Rakov Performance, Algorithmic, and Robustness Attributes of Perfect Difference Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hyperstar, robust network, fault tolerance, scalability, interconnection network, routing algorithm, emulation, Bipartite graph, diameter, permutation routing, chordal ring
14André Ivanov, Giovanni De Micheli Guest Editors' Introduction: The Network-on-Chip Paradigm in Practice and Research. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micronetworks, networks on chips, multiprocessor SoCs, on-chip interconnection network, on-chip communication, infrastructure IP
14Sun-Yuan Hsieh Embedding of Cycles in the Faulty Hypercube. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cycle embedding, Interconnection networks, Hypercubes, Fault-tolerant embedding
14Wim Heirman, Joni Dambre, Christof Debaes, Hugo Thienpont, Dirk Stroobandt, Jan Van Campenhout Prediction model for evaluation of reconfigurable interconnects in distributed shared-memory systems. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnection network, reconfiguration, distributed shared-memory, prediction model
14Jiling Zhong, Yi Pan 0001 An Upper Bound on Blocking Probability of Vertical Stacked Optical Benes Networks. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF directional coupler (DC), vertical stacking, multistage interconnection networks (MINs), blocking probability, switching networks, Benes networks
14Mohammad Reza Hoseiny Farahabady, Hamid Sarbazi-Azad The WK-Recursive Pyramid: An Efficient Network Topology. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF WKrecursive, Interconnection networks, Hamiltonian cycle, hierarchical networks, Pyramids
14Geyong Min, Mohamed Ould-Khaoua Communication Delay in Wormhole-Switched Tori Networks under Bursty Workloads. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance modeling/analysis, interconnection networks, multimedia applications, multicomputers, message latency
14Laxmi N. Bhuyan, Hu-Jun Wang Switch MSHR: A Technique to Reduce Remote Read Memory Access Time in CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CC-NUMA multiprocessor, memory latency problem, miss status holding register, interconnection network, execution-driven simulation
14Mithuna Thottethodi, Alvin R. Lebeck, Shubhendu S. Mukherjee BLAM : A High-Performance Routing Algorithm for Virtual Cut-Through Networks. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF non-minimal routing, chaotic routing, Multiprocessor interconnection networks, routing algorithm, k-ary n-cubes, virtual cut-through
14Gabriel Antoniu, Luc Bougé, Sébastien Lacour Making a DSM Consistency Protocol Hierarchy-Aware: an Efficient Synchronization Scheme. Search on Bibsonomy CCGRID The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hierarchical interconnection, home-based release consistency, cluster, grid, multithreading, DSM, consistency protocol
14Brian Towles, William J. Dally, Stephen P. Boyd Throughput-centric routing algorithm design. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection networks, multicommodity flows, oblivious routing
14Bogdan M. Maziarz, Vijay K. Jain Automatic Reconfiguration and Yield of the TESH Multicomputer Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TESH, fault-tolerance, routing, VLSI, Interconnection networks, reconfiguration, redundancy, yield, hierarchical networks, manufacturing defects, parallel computing systems, ULSI
14Jon A. Solworth Integrated Network Barriers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated network barriers, routing, parallel processing, interconnection networks, high-performance computing, barrier synchronization
14Khaled Day, Abdel Elah Al-Ayyoub Topological Properties of OTIS-Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF OTIS, optoelectronic systems, interconnection networks, embedding, topological properties
14Indhira Garcés, Daniel Franco 0002 Analysis of Distributed Routing Balancing behavior. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed routing balancing, hot spot avoidance, interconnection networks, adaptive routing, traffic distribution
14Hitoshi Oi, Bing-rung Tsai Routing Mechanism for Static Load Balancing in a Partitioned Computer System with a Fully Connected Network. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnection network, distributed shared memory, message routing, System partitioning
14Jiang Xu 0001, Wayne H. Wolf Wave pipelining for application-specific networks-on-chips. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF system-on-chip (SoC), interconnection, networks-on-chip (NoC), wave pipelining, coupling capacitance
14Sheng-I Yeh, Chang-Biau Yang, Hon-Chan Chen Fault-Tolerant Routing on the Star Graph with Safety Vectors. Search on Bibsonomy ISPAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF safety vector, fault tolerant, routing, interconnection network, star graph
14Chun-Nan Hung, Kao-Yung Liang, Lih-Hsing Hsu Embedding Hamiltonian Paths and Hamiltonian Cycles in Faulty Pancake Graphs. Search on Bibsonomy ISPAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault tolerance, interconnection network, Hamiltonian-connectivity, Hamiltonian-connected, pancake graph
14Brian Towles, William J. Dally Worst-case traffic for oblivious routing functions. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF worst-case throughput, interconnection networks, oblivious routing
14V. Carl Hamacher, Hong Jiang Hierarchical Ring Network Configuration and Performance Modeling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hierarchical rings, message-passing performance, Interconnection networks, shared-memory multiprocessors, queuing models, slotted rings
14Vassilios V. Dimakopoulos, Nikitas J. Dimopoulos Optimal Total Exchange in Cayley Graphs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF node-invariant algorithms, total exchange (all-to-all personalized communication), interconnection networks, collective communications, Cayley graphs
14Aniruddha S. Vaidya, Anand Sivasubramaniam, Chita R. Das Impact of Virtual Channels and Adaptive Routing on Application Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF performance evaluation, interconnection network, Adaptive routing, mesh network, virtual channels, architectural simulation
14Gregory Doumenis, George E. Konstantoulakis, G. Korinthios, George Lykakis, Dionisios I. Reisis, G. Synnefakis A Parallel VLSI Video/Communication Controller. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interconnection networks, parallel architectures, shared memory, video communication, traffic shaping, packet networks
14Miroslav Svéda, Radimir Vrba, Frantisek Zezulka Coupling Architectures for Low- Level Fieldbusses. Search on Bibsonomy ECBS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Embedded distributed systems, Coupler, Actuator-sensor-controller interconnection, Communication protocol, Hierarchical structure, Fieldbus
14Xiaowei Li 0001, Toshimitsu Masuzawa, Hideo Fujiwara Strong self-testability for data paths high-level synthesis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF self-testability, testability constraints, interconnection assignment, test resources reusability, high level synthesis, high-level synthesis, design for testability, register transfer level, data flow graphs, data paths, register assignment
14Yuh-Shyan Chen, Tong-Ying Juang, Ying-Ying Shen Multi-Node Broadcasting in an Arrangement Graph Using Multiple Spanning Trees. Search on Bibsonomy ICPADS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF routing, parallel processing, interconnection network, broadcast, Arrangement graph
14Hung-Chang Hsiao, Chung-Ta King Boosting the Performance of NOW-based Shared Memory Multiprocessors through Directory Hints. Search on Bibsonomy ICDCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF NOW-based shared memory multiprocessor, directory hints, remote read latency, performance evaluation, interconnection network
14Edward E. E. Frietman, Ramon J. Ernst, Roy E. Crosbie, Masao Shimoji Prospects for Optical Interconnects in Distributed, Shared-Memory Organized MIMD Architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF free space data distributing system, fully connected topology, multi-stage interconnection scheme, opto electronic logic elements, photonic integrated circuits, distributed-shared memory systems
14Yuanyuan Yang 0001, Gerald M. Masson The Necessary Conditions for Clos-Type Nonblocking Multicast Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF routing control strategies, Interconnection networks, nonblocking, necessary conditions, multicast networks
14Timothy Mark Pinkston, Sugath Warnakulasuriya Characterization of Deadlocks in k-ary n-Cube Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Deadlock characterization, true fully adaptive routing, $k$-ary $n$-cube interconnection networks, deadlock detection, deadlock recovery
14Behrooz Parhami, Ding-Ming Kwai Periodically Regular Chordal Rings. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hierarchical parallel architectures, skip links, fault tolerance, interconnection networks, routing algorithms, greedy routing, Chordal rings
14Mathew P. Haynos, Yuanyuan Yang 0001 An Analytical Model on the Blocking Probability of a Fault-Tolerant Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault tolerance, performance analysis, analytical model, Multistage interconnection networks, blocking probability, Clos network, random routing
14Binh Vien Dao, José Duato, Sudhakar Yalamanchili Dynamically Configurable Message Flow Control for Fault-Tolerant Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiphase routing, pipelined interconnection network, message flow control, routing protocol, multicomputer, virtual channels, Fault-tolerant routing, wormhole switching
14Xicheng Liu, Timothy J. Li, Wen Gao 0006 VBMAR: Virtual Network Load Balanced Minimal Adaptive Routing. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF adaptive router, VBMAR, crossed turn model, nondefinite state automata, performance evaluation, Interconnection networks, wormhole routing
14Dongho Yoo, Inbum Jung, Seung Ryoul Maeng, Hyunglae Roh Multistage Ring Network: A New Multiple Ring Network for Large Scale Multiprocessors. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiple ring network, Interconnection network, Multiprocessors, Ring network
14Sang Kyun Yun, Kyu Ho Park Comments on "Hierarchical Cubic Networks". Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hierarchical cubic network(HCN), Interconnection networks, hypercubes, routing algorithm
14Dirk Stroobandt, Fadi J. Kurdahi On the Characterization of Multi-Point Nets in Electronic Designs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Multi-point nets, Net degree distribution, Interconnection complexity, Rent's rule
14Jie Wu 0001 Extended Fibonacci Cubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hypercubes, graph embedding, interconnection topologies, Fibonacci numbers, Hamiltonian graphs
14Mounir Hamdi, Siang W. Song Embedding Hierarchical Hypercube Networks into the Hypercube. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnection networks, hypercube, embedding, Dilation, hierarchical networks
14Chi-Chang Chen, Jianer Chen Nearly Optimal One-to-Many Parallel Routing in Star Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel routing, Interconnection network, shortest path, routing algorithm, node-disjoint paths, star network
14Ivan Stojmenovic Honeycomb Networks: Topological Properties and Communication Algorithms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hexagonal tessellation, routing, broadcasting, Computer architecture, mesh-connected computer, interconnection topology
14Yu-Chee Tseng, Shu-Hui Chang, Jang-Ping Sheu Fault-Tolerant Ring Embedding in a Star Graph with Both Link and Node Failures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Fault tolerance, interconnection network, ring, Hamiltonian cycle, graph embedding, processor allocation, star graph
14Khaled Day, Abdel Elah Al-Ayyoub Fault Diameter of k-ary n-cube Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnection networks, torus, k-ary n-cube, node-disjoint paths, Fault diameter
Displaying result #801 - #900 of 6322 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license