|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 6978 occurrences of 2230 keywords
|
|
|
Results
Found 6322 publication records. Showing 6322 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Krishnan Padmanabhan |
The composite binary cube - a family of interconnection networks for multiprocessors. |
ICS |
1989 |
DBLP DOI BibTeX RDF |
|
16 | Haim E. Mizrahi, Jean-Loup Baer, Edward D. Lazowska, John Zahorjan |
Introducing Memory into Switch Elements of Multiprocessor Interconnection Networks. |
ISCA |
1989 |
DBLP DOI BibTeX RDF |
|
16 | Suresh C. Kothari, Anita Jhunjhunwala |
Performance Analysis of Multipath Mulistage Interconnection Networks. |
SIGMETRICS |
1988 |
DBLP DOI BibTeX RDF |
|
16 | R. E. Buehrer |
A High Performance Interconnection Concept for Dataflow - or Other Closely Coupled Multiprocessors. |
CONPAR |
1986 |
DBLP DOI BibTeX RDF |
|
16 | Zhixi Fang, Jitender S. Deogun |
Conflict resolution algorithm for interconnection networks (abstract only). |
ACM Conference on Computer Science |
1985 |
DBLP DOI BibTeX RDF |
|
16 | Douglas Stott Parker Jr., Cauligi S. Raghavendra |
The Gamma network: A multiprocessor interconnection network with redundant paths. |
ISCA |
1982 |
DBLP BibTeX RDF |
|
16 | Laxmi N. Bhuyan, Dharma P. Agrawal |
A general class of processor interconnection strategies. |
ISCA |
1982 |
DBLP BibTeX RDF |
|
16 | Hoo-Min D. Toong, Svein O. Strommen, Earl R. Goodrich II |
A Gemeral Multi-Microprocessor Interconnection Mechanism for Non-Numeric Processing. |
Computer Architecture for Non-Numeric Processing |
1980 |
DBLP DOI BibTeX RDF |
|
16 | Kenneth J. Thurber |
Interconnection networks: a survey and assessment. |
AFIPS National Computer Conference |
1974 |
DBLP DOI BibTeX RDF |
|
14 | José L. Abellán, Juan Fernández 0001, Manuel E. Acacio |
Efficient and scalable barrier synchronization for many-core CMPs. |
Conf. Computing Frontiers |
2010 |
DBLP DOI BibTeX RDF |
g-line-based barrier synchronization, global interconnection lines, many-core cmps, s-csma technique |
14 | Colin J. Ihrig, Rami G. Melhem, Alex K. Jones |
Automated modeling and emulation of interconnect designs for many-core chip multiprocessors. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
simulation, interconnection network, emulation, multi-core, many-core |
14 | Mitchell Hayenga, Natalie D. Enright Jerger, Mikko H. Lipasti |
SCARAB: a single cycle adaptive routing and bufferless network. |
MICRO |
2009 |
DBLP DOI BibTeX RDF |
routing, interconnection networks, multi-core |
14 | Dukgwon Lee, Seunghyun Beak, Youngmin Lee, Eunser Lee, Jungkook Kim, Gyung-Leen Park, Taikyeong Jeong |
Minimize the delay of parasitic capacitance and modeling in RLC circuit. |
ICHIT |
2009 |
DBLP DOI BibTeX RDF |
Elmore, parasitic capacitance, delay, interconnection, oscillator |
14 | Marti A. Motoyama, George Varghese |
CrossTalk: scalably interconnecting instant messaging networks. |
WOSN |
2009 |
DBLP DOI BibTeX RDF |
interconnection, DHT, instant messaging, XMPP |
14 | Yamin Li, Shietung Peng, Wanming Chu |
The Recursive Dual-Net and Its Applications. |
APPT |
2009 |
DBLP DOI BibTeX RDF |
Interconnection networks and routing algorithm |
14 | Serge Burckel, Emeric Gioan, Emmanuel Thomé |
Mapping Computation with No Memory. |
UC |
2009 |
DBLP DOI BibTeX RDF |
mapping computation, boolean mapping, linear mapping, processor optimization, multistage interconnection network, circuit design, memory optimization, butterfly, program design, rearrangeability |
14 | Po-Yang Hsu, Shu-Ting Lee, Fu-Wei Chen, Yi-Yu Liu |
Buffer design and optimization for lut-based structured ASIC design styles. |
ACM Great Lakes Symposium on VLSI |
2009 |
DBLP DOI BibTeX RDF |
structured asic, interconnection, buffer insertion |
14 | Cyriel Minkenberg, Germán Rodríguez Herrera |
Trace-driven co-simulation of high-performance computing systems using OMNeT++. |
SimuTools |
2009 |
DBLP DOI BibTeX RDF |
interconnection network, high-performance computing, PDES |
14 | Dennis Abts, Natalie D. Enright Jerger, John Kim, Dan Gibson, Mikko H. Lipasti |
Achieving predictable performance through better memory controller placement in many-core CMPs. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
interconnection networks, chip multiprocessors, routing algorithms, memory controllers |
14 | Dajin Wang |
On Embedding Hamiltonian Cycles in Crossed Cubes. |
IEEE Trans. Parallel Distributed Syst. |
2008 |
DBLP DOI BibTeX RDF |
Embedding, Network topology, Hamiltonian cycles, Interconnection architectures, Crossed cube |
14 | Rachid El Azouzi, Ralph El Khoury, Abdellatif Kobbane, Essaid Sabir |
On Extending Coverage of UMTS Networks Using an Ad-Hoc Network with Weighted Fair Queueing. |
Networking |
2008 |
DBLP DOI BibTeX RDF |
routing ad-hoc, stability, Interconnection, UMTS, cross-layer |
14 | Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, Nader Bagherzadeh |
A Generic Network Interface Architecture for a Networked Processor Array (NePA). |
ARCS |
2008 |
DBLP DOI BibTeX RDF |
Networked Processor Array (NePA), Multiprocessor System-on-Chip (MPSoC), Interconnection Network, Network Interface, Network-on-Chip (NoC) |
14 | Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López 0001, José Duato |
On the Influence of the Packet Marking and Injection Control Schemes in Congestion Management for MINs. |
Euro-Par |
2008 |
DBLP DOI BibTeX RDF |
message throttling, Interconnection networks, congestion management |
14 | Qimin Yang |
Optimum Routing and Forwarding Arrangement in Bufferless Data Vortex Switch Network. |
ICN |
2008 |
DBLP DOI BibTeX RDF |
Data Vortex, bufferless, interconnection networks, packet switching |
14 | Terrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk |
Global interconnections in FPGAs: modeling and performance analysis. |
SLIP |
2008 |
DBLP DOI BibTeX RDF |
FPGA, throughput, interconnection, wave-pipelined |
14 | Santanu Kundu, Santanu Chattopadhyay |
Mesh-of-tree deterministic routing for network-on-chip architecture. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
deterministic routing., mesh-of-tree (mot), interconnection networks, system-on-chip (soc), network-on-chip (noc) |
14 | Wolfgang E. Denzel, Jian Li 0059, Peter Walker, Yuho Jin |
A framework for end-to-end simulation of high-performance computing systems. |
SimuTools |
2008 |
DBLP DOI BibTeX RDF |
end-to-end simulation, interconnection network, high-performance computing |
14 | Saeedeh Bakhshi, Hamid Sarbazi-Azad |
Efficient VLSI Layout of Edge Product Networks. |
DELTA |
2008 |
DBLP DOI BibTeX RDF |
Edge graph product, Collinear layout, Interconnection networks, Networks on chip, VLSI layout |
14 | Keiichi Kaneko, Shietung Peng |
Node-to-Set Disjoint Paths Routing in Dual-Cube. |
ISPAN |
2008 |
DBLP DOI BibTeX RDF |
node-to-set routing, dual-cube, algorithm, interconnection network |
14 | Yatin Hoskote, Sriram R. Vangal, Arvind P. Singh, Nitin Borkar, Shekhar Borkar |
A 5-GHz Mesh Interconnect for a Teraflops Processor. |
IEEE Micro |
2007 |
DBLP DOI BibTeX RDF |
interconnection fabric, network on chip, mesh, router, CMOS digital integrated circuits, crossbar |
14 | Rongsen He, José G. Delgado-Frias |
Fault Tolerant Interleaved Switching Fabrics For Scalable High-Performance Routers. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
Interleaved switching fabrics, RAIF (Redundant Array of Independent Fabrics), I-Cubeout network, multistage interconnection network (MIN) |
14 | Akiya Jouraku, Michihiro Koibuchi, Hideharu Amano |
An Effective Design of Deadlock-Free Routing Algorithms Based on 2D Turn Model for Irregular Networks. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
interconnection networks, Adaptive routing, PC clusters, deadlock avoidance, irregular topologies, system area networks, turn model |
14 | Yu-Wei Chen |
A Comment on 'The Exchanged Hypercube'. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
exchanged hypercube, incremental expandability, number of links, Interconnection network |
14 | Samia Loucif, Mohamed Ould-Khaoua, Geyong Min |
A queueing model for predicting message latency in uni-directional k -ary n -cubes with deterministic routing and non-uniform traffic. |
Clust. Comput. |
2007 |
DBLP DOI BibTeX RDF |
M/G/1 queueing systems, Interconnection networks, Performance modelling, Hot spot, k-ary n-cubes, Deterministic routing |
14 | Yun Sun, Zhoujun Li 0001, Deqiang Wang |
Hamiltonian Property on Binary Recursive Networks. |
FAW |
2007 |
DBLP DOI BibTeX RDF |
binary recursive networks, interconnection network, hypercube, Hamiltonian cycle |
14 | Yun Sun, Zhoujun Li 0001, Deqiang Wang |
Hamiltonicity and Pancyclicity of Binary Recursive Networks. |
ISPA |
2007 |
DBLP DOI BibTeX RDF |
Binary recursive networks, Interconnection network, Hypercube, Hamiltonian cycle, Pancyclicity |
14 | Dennis Abts, Deborah K. Weisser |
Age-based packet arbitration in large-radix k-ary n-cubes. |
SC |
2007 |
DBLP DOI BibTeX RDF |
routing, architecture, interconnection network, multiprocessor, packet-switching, torus, arbitration, MPP |
14 | John Kim, William J. Dally, Dennis Abts |
Flattened butterfly: a cost-efficient topology for high-radix networks. |
ISCA |
2007 |
DBLP DOI BibTeX RDF |
flattened butterfly, global adaptive routing, high-radix routers, interconnection networks, topology, cost model |
14 | Geyong Min, Yulei Wu, Lan Wang, Mohamed Ould-Khaoua |
Performance Modelling of Adaptive Routing in Hypercubic Networks under Non-Uniform and Batch Arrival Traffic. |
LCN |
2007 |
DBLP DOI BibTeX RDF |
Hot-Spot Traffic, Compound Poisson Process (CPP), Performance Evaluation, Interconnection Networks |
14 | Naoki Sawada, Keiichi Kaneko, Shietung Peng |
Pairwise Disjoint Paths in Pancake Graphs. |
PDCAT |
2007 |
DBLP DOI BibTeX RDF |
interconnection networks, polynomial time algorithm, disjoint paths |
14 | Cyriel Minkenberg, François Abel, Peter Müller 0002, Raj Krishnamurthy, Mitchell Gusat, Peter Dill, Ilias Iliadis, Ronald P. Luijten, B. Roe Hemenway, Richard Grzybowski, Enrico Schiattarella |
Designing a Crossbar Scheduler for HPC Applications. |
IEEE Micro |
2006 |
DBLP DOI BibTeX RDF |
crossbar scheduler, interconnection network, high-performance computing |
14 | Ching-Wen Chen |
Design schemes of dynamic rerouting networks with destination tag routing for tolerating faults and preventing collisions. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
Destination tag routing, Fault tolerance, Performance, Parallel computing, Multistage interconnection network (MIN), Collision, Dynamic rerouting |
14 | Slavko Gajin, Zoran Jovanovic |
Explanation of Performance Degradation in Turn Model. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
interconnection networks, adaptive routing, multicomputers, virtual channel, deterministic routing, wormhole, turn-model |
14 | Geyong Min, Mohamed Ould-Khaoua, Demetres D. Kouvatsos, Irfan Awan |
Stochastic Analysis of Deterministic Routing Algorithms in the Presence of Self-Similar Traffic. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
interconnection networks, analytical modeling, virtual channels, message latency, dimension-ordered routing |
14 | M. Reza HoseinyFarahabady, Hamid Sarbazi-Azad |
The Grid-Pyramid: A Generalized Pyramid Network. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
WK-recursive mesh, Hypermesh, Link-disjoint Hamiltonian cycles, Interconnection networks, Mesh, Torus, Hamiltonian cycle, Hamiltonian path, Pyramid, Hamiltonian-connectivity, Pancyclicity |
14 | Hongbing Fan, Yu-Liang Wu, Ray Chak-Chung Cheung, Jiping Liu |
Decomposition Design Theory and Methodology for Arbitrary-Shaped Switch Boxes. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
reconfigurable interconnection network, switch block, hyperuniversal, FPGA, universal, switch box |
14 | Ingebjørg Theiss, Olav Lysne |
FRoots: A Fault Tolerant and Topology-Flexible Routing Technique. |
IEEE Trans. Parallel Distributed Syst. |
2006 |
DBLP DOI BibTeX RDF |
path redundancy, interconnection networks, Fault-tolerant routing, deadlock freedom |
14 | Rizza Camus Caminero, Pavol Zavarsky, Yoshiki Mikami |
Status of the African Web. |
WWW |
2006 |
DBLP DOI BibTeX RDF |
ccTLD, internet statistics, web tree, interconnection, web accessibility, privacy protection, web graph, Africa |
14 | Thomas Sødring, Raúl Martínez, Geir Horn |
A Statistical Approach to Traffic Management in Source Routed Loss-Less Networks. |
HPCC |
2006 |
DBLP DOI BibTeX RDF |
Statistical Quality of Service, Advanced Switching, Performance evaluation, Interconnection Networks, Networking protocol, Traffic Management |
14 | Francisco Gilabert Villamón, María Engracia Gómez, Pedro López 0001, José Duato |
On the Influence of the Selection Function on the Performance of Fat-Trees. |
Euro-Par |
2006 |
DBLP DOI BibTeX RDF |
selection function, interconnection networks, adaptive routing, fat-tree |
14 | Cruz Izu |
Throughput fairness in k-ary n-cube networks. |
ACSC |
2006 |
DBLP BibTeX RDF |
interconnection networks, fairness, network throughput, channel utilization |
14 | Sun-Yuan Hsieh |
Fault-Free Pairwise Independent Hamiltonian Paths on Faulty Hypercubes. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
pairwise independent Hamiltonian paths, Interconnection networks, hypercubes, Hamiltonian, fault-tolerant embedding |
14 | Hsien-Jone Hsieh, Dyi-Rong Duh |
Constructing Node-Disjoint Paths in Enhanced Pyramid Networks. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
Enhanced pyramid networks, pyramid networks, fault-tolerance, interconnection networks, container, node-disjoint paths, wide diameter |
14 | Pradip Bose |
Presilicon modeling: challenges in the late CMOS era. |
IEEE Micro |
2005 |
DBLP DOI BibTeX RDF |
Integrated microarchitectures, special purpose accelerators, scalable on-chip interconnection network, presilicon modeling, CMOS |
14 | José Duato, Olav Lysne, Ruoming Pang, Timothy Mark Pinkston |
Part I: A Theory for Deadlock-Free Dynamic Network Reconfiguration. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
deadlock-freedom theory, system reliability and availability, Interconnection network, dynamic reconfiguration |
14 | Catherine Decayeux, David Semé |
3D Hexagonal Network: Modeling, Topological Properties, Addressing Scheme, and Optimal Routing Algorithm. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
routing, interconnection networks, Parallel architectures, communication algorithms, hexagonal mesh |
14 | Behrooz Parhami, Mikhail A. Rakov |
Performance, Algorithmic, and Robustness Attributes of Perfect Difference Networks. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
hyperstar, robust network, fault tolerance, scalability, interconnection network, routing algorithm, emulation, Bipartite graph, diameter, permutation routing, chordal ring |
14 | André Ivanov, Giovanni De Micheli |
Guest Editors' Introduction: The Network-on-Chip Paradigm in Practice and Research. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
micronetworks, networks on chips, multiprocessor SoCs, on-chip interconnection network, on-chip communication, infrastructure IP |
14 | Sun-Yuan Hsieh |
Embedding of Cycles in the Faulty Hypercube. |
Asia-Pacific Computer Systems Architecture Conference |
2005 |
DBLP DOI BibTeX RDF |
Cycle embedding, Interconnection networks, Hypercubes, Fault-tolerant embedding |
14 | Wim Heirman, Joni Dambre, Christof Debaes, Hugo Thienpont, Dirk Stroobandt, Jan Van Campenhout |
Prediction model for evaluation of reconfigurable interconnects in distributed shared-memory systems. |
SLIP |
2005 |
DBLP DOI BibTeX RDF |
interconnection network, reconfiguration, distributed shared-memory, prediction model |
14 | Jiling Zhong, Yi Pan 0001 |
An Upper Bound on Blocking Probability of Vertical Stacked Optical Benes Networks. |
ISPA |
2005 |
DBLP DOI BibTeX RDF |
directional coupler (DC), vertical stacking, multistage interconnection networks (MINs), blocking probability, switching networks, Benes networks |
14 | Mohammad Reza Hoseiny Farahabady, Hamid Sarbazi-Azad |
The WK-Recursive Pyramid: An Efficient Network Topology. |
ISPAN |
2005 |
DBLP DOI BibTeX RDF |
WKrecursive, Interconnection networks, Hamiltonian cycle, hierarchical networks, Pyramids |
14 | Geyong Min, Mohamed Ould-Khaoua |
Communication Delay in Wormhole-Switched Tori Networks under Bursty Workloads. |
J. Supercomput. |
2003 |
DBLP DOI BibTeX RDF |
performance modeling/analysis, interconnection networks, multimedia applications, multicomputers, message latency |
14 | Laxmi N. Bhuyan, Hu-Jun Wang |
Switch MSHR: A Technique to Reduce Remote Read Memory Access Time in CC-NUMA Multiprocessors. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
CC-NUMA multiprocessor, memory latency problem, miss status holding register, interconnection network, execution-driven simulation |
14 | Mithuna Thottethodi, Alvin R. Lebeck, Shubhendu S. Mukherjee |
BLAM : A High-Performance Routing Algorithm for Virtual Cut-Through Networks. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
non-minimal routing, chaotic routing, Multiprocessor interconnection networks, routing algorithm, k-ary n-cubes, virtual cut-through |
14 | Gabriel Antoniu, Luc Bougé, Sébastien Lacour |
Making a DSM Consistency Protocol Hierarchy-Aware: an Efficient Synchronization Scheme. |
CCGRID |
2003 |
DBLP DOI BibTeX RDF |
hierarchical interconnection, home-based release consistency, cluster, grid, multithreading, DSM, consistency protocol |
14 | Brian Towles, William J. Dally, Stephen P. Boyd |
Throughput-centric routing algorithm design. |
SPAA |
2003 |
DBLP DOI BibTeX RDF |
interconnection networks, multicommodity flows, oblivious routing |
14 | Bogdan M. Maziarz, Vijay K. Jain |
Automatic Reconfiguration and Yield of the TESH Multicomputer Network. |
IEEE Trans. Computers |
2002 |
DBLP DOI BibTeX RDF |
TESH, fault-tolerance, routing, VLSI, Interconnection networks, reconfiguration, redundancy, yield, hierarchical networks, manufacturing defects, parallel computing systems, ULSI |
14 | Jon A. Solworth |
Integrated Network Barriers. |
IEEE Trans. Parallel Distributed Syst. |
2002 |
DBLP DOI BibTeX RDF |
integrated network barriers, routing, parallel processing, interconnection networks, high-performance computing, barrier synchronization |
14 | Khaled Day, Abdel Elah Al-Ayyoub |
Topological Properties of OTIS-Networks. |
IEEE Trans. Parallel Distributed Syst. |
2002 |
DBLP DOI BibTeX RDF |
OTIS, optoelectronic systems, interconnection networks, embedding, topological properties |
14 | Indhira Garcés, Daniel Franco 0002 |
Analysis of Distributed Routing Balancing behavior. |
SAC |
2002 |
DBLP DOI BibTeX RDF |
distributed routing balancing, hot spot avoidance, interconnection networks, adaptive routing, traffic distribution |
14 | Hitoshi Oi, Bing-rung Tsai |
Routing Mechanism for Static Load Balancing in a Partitioned Computer System with a Fully Connected Network. |
ISHPC |
2002 |
DBLP DOI BibTeX RDF |
interconnection network, distributed shared memory, message routing, System partitioning |
14 | Jiang Xu 0001, Wayne H. Wolf |
Wave pipelining for application-specific networks-on-chips. |
CASES |
2002 |
DBLP DOI BibTeX RDF |
system-on-chip (SoC), interconnection, networks-on-chip (NoC), wave pipelining, coupling capacitance |
14 | Sheng-I Yeh, Chang-Biau Yang, Hon-Chan Chen |
Fault-Tolerant Routing on the Star Graph with Safety Vectors. |
ISPAN |
2002 |
DBLP DOI BibTeX RDF |
safety vector, fault tolerant, routing, interconnection network, star graph |
14 | Chun-Nan Hung, Kao-Yung Liang, Lih-Hsing Hsu |
Embedding Hamiltonian Paths and Hamiltonian Cycles in Faulty Pancake Graphs. |
ISPAN |
2002 |
DBLP DOI BibTeX RDF |
fault tolerance, interconnection network, Hamiltonian-connectivity, Hamiltonian-connected, pancake graph |
14 | Brian Towles, William J. Dally |
Worst-case traffic for oblivious routing functions. |
SPAA |
2002 |
DBLP DOI BibTeX RDF |
worst-case throughput, interconnection networks, oblivious routing |
14 | V. Carl Hamacher, Hong Jiang |
Hierarchical Ring Network Configuration and Performance Modeling. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
hierarchical rings, message-passing performance, Interconnection networks, shared-memory multiprocessors, queuing models, slotted rings |
14 | Vassilios V. Dimakopoulos, Nikitas J. Dimopoulos |
Optimal Total Exchange in Cayley Graphs. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
node-invariant algorithms, total exchange (all-to-all personalized communication), interconnection networks, collective communications, Cayley graphs |
14 | Aniruddha S. Vaidya, Anand Sivasubramaniam, Chita R. Das |
Impact of Virtual Channels and Adaptive Routing on Application Performance. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
performance evaluation, interconnection network, Adaptive routing, mesh network, virtual channels, architectural simulation |
14 | Gregory Doumenis, George E. Konstantoulakis, G. Korinthios, George Lykakis, Dionisios I. Reisis, G. Synnefakis |
A Parallel VLSI Video/Communication Controller. |
J. VLSI Signal Process. |
2001 |
DBLP DOI BibTeX RDF |
interconnection networks, parallel architectures, shared memory, video communication, traffic shaping, packet networks |
14 | Miroslav Svéda, Radimir Vrba, Frantisek Zezulka |
Coupling Architectures for Low- Level Fieldbusses. |
ECBS |
2000 |
DBLP DOI BibTeX RDF |
Embedded distributed systems, Coupler, Actuator-sensor-controller interconnection, Communication protocol, Hierarchical structure, Fieldbus |
14 | Xiaowei Li 0001, Toshimitsu Masuzawa, Hideo Fujiwara |
Strong self-testability for data paths high-level synthesis. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
self-testability, testability constraints, interconnection assignment, test resources reusability, high level synthesis, high-level synthesis, design for testability, register transfer level, data flow graphs, data paths, register assignment |
14 | Yuh-Shyan Chen, Tong-Ying Juang, Ying-Ying Shen |
Multi-Node Broadcasting in an Arrangement Graph Using Multiple Spanning Trees. |
ICPADS |
2000 |
DBLP DOI BibTeX RDF |
routing, parallel processing, interconnection network, broadcast, Arrangement graph |
14 | Hung-Chang Hsiao, Chung-Ta King |
Boosting the Performance of NOW-based Shared Memory Multiprocessors through Directory Hints. |
ICDCS |
2000 |
DBLP DOI BibTeX RDF |
NOW-based shared memory multiprocessor, directory hints, remote read latency, performance evaluation, interconnection network |
14 | Edward E. E. Frietman, Ramon J. Ernst, Roy E. Crosbie, Masao Shimoji |
Prospects for Optical Interconnects in Distributed, Shared-Memory Organized MIMD Architectures. |
J. Supercomput. |
1999 |
DBLP DOI BibTeX RDF |
free space data distributing system, fully connected topology, multi-stage interconnection scheme, opto electronic logic elements, photonic integrated circuits, distributed-shared memory systems |
14 | Yuanyuan Yang 0001, Gerald M. Masson |
The Necessary Conditions for Clos-Type Nonblocking Multicast Networks. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
routing control strategies, Interconnection networks, nonblocking, necessary conditions, multicast networks |
14 | Timothy Mark Pinkston, Sugath Warnakulasuriya |
Characterization of Deadlocks in k-ary n-Cube Networks. |
IEEE Trans. Parallel Distributed Syst. |
1999 |
DBLP DOI BibTeX RDF |
Deadlock characterization, true fully adaptive routing, $k$-ary $n$-cube interconnection networks, deadlock detection, deadlock recovery |
14 | Behrooz Parhami, Ding-Ming Kwai |
Periodically Regular Chordal Rings. |
IEEE Trans. Parallel Distributed Syst. |
1999 |
DBLP DOI BibTeX RDF |
hierarchical parallel architectures, skip links, fault tolerance, interconnection networks, routing algorithms, greedy routing, Chordal rings |
14 | Mathew P. Haynos, Yuanyuan Yang 0001 |
An Analytical Model on the Blocking Probability of a Fault-Tolerant Network. |
IEEE Trans. Parallel Distributed Syst. |
1999 |
DBLP DOI BibTeX RDF |
fault tolerance, performance analysis, analytical model, Multistage interconnection networks, blocking probability, Clos network, random routing |
14 | Binh Vien Dao, José Duato, Sudhakar Yalamanchili |
Dynamically Configurable Message Flow Control for Fault-Tolerant Routing. |
IEEE Trans. Parallel Distributed Syst. |
1999 |
DBLP DOI BibTeX RDF |
multiphase routing, pipelined interconnection network, message flow control, routing protocol, multicomputer, virtual channels, Fault-tolerant routing, wormhole switching |
14 | Xicheng Liu, Timothy J. Li, Wen Gao 0006 |
VBMAR: Virtual Network Load Balanced Minimal Adaptive Routing. |
IPPS/SPDP |
1999 |
DBLP DOI BibTeX RDF |
adaptive router, VBMAR, crossed turn model, nondefinite state automata, performance evaluation, Interconnection networks, wormhole routing |
14 | Dongho Yoo, Inbum Jung, Seung Ryoul Maeng, Hyunglae Roh |
Multistage Ring Network: A New Multiple Ring Network for Large Scale Multiprocessors. |
ICPP Workshops |
1999 |
DBLP DOI BibTeX RDF |
Multiple ring network, Interconnection network, Multiprocessors, Ring network |
14 | Sang Kyun Yun, Kyu Ho Park |
Comments on "Hierarchical Cubic Networks". |
IEEE Trans. Parallel Distributed Syst. |
1998 |
DBLP DOI BibTeX RDF |
hierarchical cubic network(HCN), Interconnection networks, hypercubes, routing algorithm |
14 | Dirk Stroobandt, Fadi J. Kurdahi |
On the Characterization of Multi-Point Nets in Electronic Designs. |
Great Lakes Symposium on VLSI |
1998 |
DBLP DOI BibTeX RDF |
Multi-point nets, Net degree distribution, Interconnection complexity, Rent's rule |
14 | Jie Wu 0001 |
Extended Fibonacci Cubes. |
IEEE Trans. Parallel Distributed Syst. |
1997 |
DBLP DOI BibTeX RDF |
hypercubes, graph embedding, interconnection topologies, Fibonacci numbers, Hamiltonian graphs |
14 | Mounir Hamdi, Siang W. Song |
Embedding Hierarchical Hypercube Networks into the Hypercube. |
IEEE Trans. Parallel Distributed Syst. |
1997 |
DBLP DOI BibTeX RDF |
interconnection networks, hypercube, embedding, Dilation, hierarchical networks |
14 | Chi-Chang Chen, Jianer Chen |
Nearly Optimal One-to-Many Parallel Routing in Star Networks. |
IEEE Trans. Parallel Distributed Syst. |
1997 |
DBLP DOI BibTeX RDF |
parallel routing, Interconnection network, shortest path, routing algorithm, node-disjoint paths, star network |
14 | Ivan Stojmenovic |
Honeycomb Networks: Topological Properties and Communication Algorithms. |
IEEE Trans. Parallel Distributed Syst. |
1997 |
DBLP DOI BibTeX RDF |
hexagonal tessellation, routing, broadcasting, Computer architecture, mesh-connected computer, interconnection topology |
14 | Yu-Chee Tseng, Shu-Hui Chang, Jang-Ping Sheu |
Fault-Tolerant Ring Embedding in a Star Graph with Both Link and Node Failures. |
IEEE Trans. Parallel Distributed Syst. |
1997 |
DBLP DOI BibTeX RDF |
Fault tolerance, interconnection network, ring, Hamiltonian cycle, graph embedding, processor allocation, star graph |
14 | Khaled Day, Abdel Elah Al-Ayyoub |
Fault Diameter of k-ary n-cube Networks. |
IEEE Trans. Parallel Distributed Syst. |
1997 |
DBLP DOI BibTeX RDF |
interconnection networks, torus, k-ary n-cube, node-disjoint paths, Fault diameter |
|
|