The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for layout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1971 (20) 1972-1975 (16) 1976-1977 (20) 1978-1979 (20) 1980-1981 (32) 1982 (25) 1983 (27) 1984 (37) 1985 (74) 1986 (48) 1987 (62) 1988 (82) 1989 (98) 1990 (141) 1991 (93) 1992 (87) 1993 (126) 1994 (92) 1995 (181) 1996 (154) 1997 (169) 1998 (201) 1999 (275) 2000 (248) 2001 (303) 2002 (386) 2003 (400) 2004 (490) 2005 (540) 2006 (550) 2007 (631) 2008 (574) 2009 (424) 2010 (259) 2011 (227) 2012 (227) 2013 (252) 2014 (253) 2015 (259) 2016 (265) 2017 (290) 2018 (315) 2019 (331) 2020 (351) 2021 (407) 2022 (390) 2023 (514) 2024 (110)
Publication types (Num. hits)
article(3614) book(9) data(3) incollection(68) inproceedings(7258) phdthesis(123) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(514) DAC(463) IEEE Trans. Comput. Aided Des....(412) ICCAD(186) ICDAR(166) GD(139) ASP-DAC(135) VLSI Design(115) IEEE Trans. Very Large Scale I...(113) ISPD(112) ISQED(112) ISCAS(109) DATE(102) IEEE Trans. Vis. Comput. Graph...(89) WSC(74) IEEE Trans. Computers(73) More (+10 of total 2371)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6705 occurrences of 3042 keywords

Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Antonio Adán, Pilar Merchán, Santiago Salamanca, Andrés S. Vázquez, Miguel Adán, Carlos Cerrada Objects layout graph for 3D complex scenes. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy A Constraint Network Based Approach to Memory Layout Optimization. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Joachim Sudbrock, Jaan Raik, Raimund Ubar, Wieslaw Kuzmicz, Witold A. Pleskacz Defect-Oriented Test- and Layout-Generation for Standard-Cell ASIC Designs. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Satoshi Hashimoto, Kazunori Haruyama, Taro Nakamura, Toyohisa Nakajima, Yuko Osana Office layout support system using island model genetic algorithm. Search on Bibsonomy Congress on Evolutionary Computation The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ke Cao, Puneet Dhawan, Jiang Hu Library cell layout with Alt-PSM compliance and composability. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Peter Sobe Distributed Storage Layout Schemes. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Koenraad Mertens, Tom Holvoet, Yolande Berbers An Adaptive Distributed Layout for Multi-agent Applications. Search on Bibsonomy SELMAS (LNCS) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Maryam Ashouei, Abhijit Chatterjee, Adit D. Singh, Vivek De A Dual-Vt Layout Approach for Statistical Leakage Variability Minimization in Nanometer CMOS. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Gagan Aggarwal, Tomás Feder, Rajeev Motwani 0001, Rina Panigrahy, An Zhu Algorithms for the Database Layout Problem. Search on Bibsonomy ICDT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jeyarajan Thiyagalingam, Olav Beckmann, Paul H. J. Kelly Minimizing Associativity Conflicts in Morton Layout. Search on Bibsonomy PPAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Xin Dai, Chengming He, Hanqing Xing, Degang Chen 0001, Randall L. Geiger An Nth order central symmetrical layout pattern for nonlinear gradients cancellation. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Qianying Tang, Jianwen Zhu Two-Dimensional Layout Migration by Soft Constraint Satisfaction. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Manish Garg, Laurent Le Cam, Matthieu Gonzalez Lithography Driven Layout Design. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17John William Lumley, Roger Gimson, Owen Rees A framework for structure, layout & function in documents. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2005 DBLP  DOI  BibTeX  RDF XML, functional programming, XSLT, SVG, document construction
17Margherita Berardi, Oronzo Altamura, Michelangelo Ceci, Donato Malerba A color-based layout analysis to process censorship cards of film archives. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Nattawut Thepayasuwan, Alex Doboli Layout Conscious Bus Architecture Synthesis for Deep Submicron Systems on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Harald P. E. Vranken, Ferry Syafei Sapei, Hans-Joachim Wunderlich Impact of Test Point Insertion on Silicon Area and Timing during Layout. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Sriram Krishnamoorthy, Gerald Baumgartner, Chi-Chung Lam, Jarek Nieplocha, P. Sadayappan Efficient Layout Transformation for Disk-Based Multidimensional Arrays. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Feihui Li, Pyush Agrawal, Grace Eberhardt, Eren Manavoglu, Secil Ugurel, Mahmut T. Kandemir Improving Memory Performance of Embedded Java Applications by Dynamic Layout Modifications. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Lubomir Torok, Imrich Vrto Layout Volumes of the Hypercube. Search on Bibsonomy GD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kuang-Kuo Lin, Sudhakar Kale, Aditi Nigam Methodology for Automated Layout Migration for 90 nm Itanium®2 Processor Design. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Exact Wiring Fault Minimization via Comprehensive Layout Synthesis for CMOS Logic Cells. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Sébastien Choplin, Lata Narayanan, Jaroslav Opatrny Two-Hop Virtual Path Layout in Tori. Search on Bibsonomy SIROCCO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Junhyung Um, Taewhan Kim Synthesis of arithmetic circuits considering layout effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Rakesh Agrawal 0001, Surajit Chaudhuri, Abhinandan Das, Vivek R. Narasayya Automating Layout of Relational Databases. Search on Bibsonomy ICDE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Márta Rencz, Vladimír Székely, András Poppe A Fast Algorithm for the Layout Based Electro-Thermal Simulation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Quang Vinh Nguyen, Mao Lin Huang A Fast Focus + Context Viewing Technique for the Navigation of Classical Hierarchical Layout. Search on Bibsonomy IV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sriram Padmanabhan, Bishwaranjan Bhattacharjee, Timothy Malkemus, Leslie Cranston, Matthew Huras Multi-Dimensional Clustering: A New Data Layout Scheme in DB2. Search on Bibsonomy SIGMOD Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Lars Liebmann Layout impact of resolution enhancement techniques: impediment or opportunity? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF radically restricted designs, resolution enhancement techniques, design for manufacturability, lithography
17Roland Sturm, Joachim Seidelmann, Johann Dorner, Kevin Reddig Automated material handling systems: an approach to robust layout planning of AMHS. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Georg Sander Layout of Directed Hypergraphs with Orthogonal Hyperedges. Search on Bibsonomy GD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sarat C. Maruvada, Karthik Krishnamoorthy, Subodh Annojvala, Florin Balasa Placement with symmetry constraints for analog layout using red-black trees. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sophon Vorasitchai, Suthep Madarasmi Improvements on layout of garment patterns for efficient fabric consumption. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Véronique Eglin, Stéphane Bres Document page similarity based on layout visual saliency: Application to query by example and document classification. Search on Bibsonomy ICDAR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Thomas M. Breuel An Algorithm for Finding Maximal Whitespace Rectangles at Arbitrary Orientations for Document Layout Analysis. Search on Bibsonomy ICDAR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Alexandre César Muniz de Oliveira, Luiz Antonio Nogueira Lorena A constructive genetic algorithm for gate matrix layout problems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Thomas M. Breuel Two Geometric Algorithms for Layout Analysis. Search on Bibsonomy Document Analysis Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Apostolos Antonacopoulos, Hong Meng A Ground-Truthing Tool for Layout Analysis Performance Evaluation. Search on Bibsonomy Document Analysis Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Binyamin Rosenfeld, Ronen Feldman, Yonatan Aumann Structural extraction from visual layout of documents. Search on Bibsonomy CIKM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Shimon Even, Roni Kupershtok Layout area of the hypercube (extended abstract). Search on Bibsonomy SODA The full citation details ... 2002 DBLP  BibTeX  RDF
17Adrish Ray Chaudhuri, A. K. Mandal, B. B. Chaudhuri 0001 Page Layout Analyser for Multilingual Indian Documents. Search on Bibsonomy Language Engineering Conference The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Heikki Keränen, Johan Plomp Adaptive runtime layout of hierarchical UI components. Search on Bibsonomy NordiCHI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF constant information density, elastic windows, adaptive user interfaces, zoomable user interfaces, treemaps
17Alistair Morrison, Greg Ross, Matthew Chalmers A Hybrid Layout Algorithm for Sub-Quadratic Multidimensional Scaling. Search on Bibsonomy INFOVIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Markus Kowarschik, Ulrich Rüde, Christian Weiß 0001 Data Layout Optimizations for Variable Coefficient Multigrid. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Kwok-Wing Chau, M. Anson A Knowledge-Based System for Construction Site Level Facilities Layout. Search on Bibsonomy IEA/AIE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Florin Balasa Device-level placement for analog layout: an opportunity for non-slicing topological representations. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Wei Lai Layout Adjustment and Boundary Detection for a Diagram. Search on Bibsonomy Computer Graphics International The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Roland Wiese, Markus Eiglsperger, Michael Kaufmann 0001 yFiles: Visualization and Automatic Layout of Graphs. Search on Bibsonomy GD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ka-Ping Yee, Danyel Fisher, Rachna Dhamija, Marti A. Hearst Animated Exploration of Dynamic Graphs with Radial Layout. Search on Bibsonomy INFOVIS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Juan A. Montiel-Nelson, De de Armas, Roberto Sarmiento, Antonio Núñez, Saeid Nooshabadi A compact layout technique to minimize high frequency switching effects in high speed circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Susan L. Epstein, Bernard Moulin, Walid Chaker, Janice I. Glasgow, Jeremi Gancet Pragmatism and Spatial Layout Design. Search on Bibsonomy COSIT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF spatial design, cognitive structure of spatial knowledge, social and cultural organization of space, structure of geographic information, constraint-based reasoning
17Helen C. Purchase, David A. Carrington, Jo-Anne Allder Experimenting with Aesthetics-Based Graph Layout. Search on Bibsonomy Diagrams The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Youcef Bourai, C.-J. Richard Shi Layout Compaction for Yield Optimization via Critical Area Minimization. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Natalie Eckel, Joseph Gil Empirical Study of Object-Layout Strategies and Optimization Techniques. Search on Bibsonomy ECOOP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Vance E. Waddle Graph Layout for Displaying Data Structures. Search on Bibsonomy GD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Ulrik Brandes, Galina Shubina, Roberto Tamassia, Dorothea Wagner Fast Layout Methods for Timetable Graphs. Search on Bibsonomy GD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Carl De Ranter, Bram De Muer, Geert Van der Plas, Peter J. Vancorenland, Michiel Steyaert, Georges G. E. Gielen, Willy M. C. Sansen CYCLONE: automated design and layout of RF LC-oscillators. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Tong Liu 0007, Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi Test generation and scheduling for layout-based detection of bridge faults in interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jeffrey P. Bradford, Russell W. Quong An empirical study on how program layout affects cache miss rates. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Piotr Berman, Andrew B. Kahng, Devendra Vidhani, Alexander Zelikovsky The T-join Problem in Sparse Graphs: Applications to Phase Assignment Problem in VLSI Mask Layout. Search on Bibsonomy WADS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Guo-Hui Lin, Guoliang Xue, Defang Zhou Approximating Hexagonal Steiner Minimal Trees by Fast Optimal Layout of Minimum Spanning Trees. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VLSI physical design, hexagonal routing, approximation algorithms
17Stefano Rovetta, Rodolfo Zunino VLSI circuits with fractal layout for spatial image decorrelation. Search on Bibsonomy ISCAS (4) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Chi-Keung Luk, Todd C. Mowry Memory Forwarding: Enabling Aggressive Layout Optimizations by Guaranteeing the Safety of Data Relocation. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17John D. Hobby Page Decomposition and Signature Finding via Shape Classification and Geometric Layout. Search on Bibsonomy ICDAR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Shigetoshi Nakatake, Kunihiro Fujiyoshi, Hiroshi Murata, Yoji Kajitani Module packing based on the BSG-structure and IC layout applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Aythan Avior, Tiziana Calamoneri, Shimon Even, Ami Litman, Arnold L. Rosenberg A Tight Layout of the Butterfly Network. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Evanthia Papadopoulou Linfinity Voronoi Diagrams and Applications to VLSI Layout and Manufacturing. Search on Bibsonomy ISAAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Min Xu, Fadi J. Kurdahi Layout-Driven High Level Synthesis for FPGA Based Architectures. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Sumito Nakano, Naotake Kamiura, Yutaka Hata Fault Tolerance of a Tree-Connected Multiprocessor System and its Arraylike Layout. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Mahmut T. Kandemir, Alok N. Choudhary, J. Ramanujam, U. Nagaraj Shenoy, Prithviraj Banerjee Enhancing Spatial Locality via Data Layout Optimizations. Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Hamdy Elwany, Mohamed G. Abou-Ali, Nermeen A. Harraz The Layout Problem: Investigation and Aggregation of Artificial Intelligence and Optimization Techniques. Search on Bibsonomy PRICAI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Kunihiko Hayashi, Michiko Inoue, Toshimitsu Masuzawa, Hideo Fujiwara A Layout Adjustment Problem for Disjoint Rectangles Preserving Orthogonal Order. Search on Bibsonomy GD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Min Xu, Fadi J. Kurdahi Layout-driven RTL binding techniques for high-level synthesis using accurate estimators. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGAs, high-level synthesis, floorplan, binding
17Les T. Walczowski, D. Nalbantis, W. A. J. Waller, Keng-Hua Shi Analogue layout generation by World Wide Web server-based agents. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Avaneendra Gupta, John P. Hayes CLIP: An Optimizing Layout Generator for Two-Dimensional CMOS Cells. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Enrico Malavasi, Edoardo Charbon, Eric Felt, Alberto L. Sangiovanni-Vincentelli Automation of IC layout with analog constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Yannis E. Ioannidis, Miron Livny, Jian Bao, Eben M. Haber User-oriented visual layout at multiple granularities. Search on Bibsonomy AVI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Fadi J. Kurdahi, Champaka Ramachandran Evaluating layout area tradeoffs for high level applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Terence B. Hook Automatic extraction of circuit models from layout artwork for a BiCMOS technology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Tetsuto Yoshikawa A visual knowledge representation language for layout problem. Search on Bibsonomy ICCL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Martin D. F. Wong, Mohankumar Guruswamy Channel ordering for VLSI layout with rectilinear modules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Wayne Bower, Carl Seaquist, Wayne H. Wolf A framework for industrial layout generators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Kurt Mehlhorn, Wolfgang Rülling Compaction on the torus [VLSI layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Chi-Yi Hwang, Yung-Chin Hsieh, Youn-Long Lin, Yu-Chin Hsu A fast transistor-chaining algorithm for CMOS cell layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Hyunchul Shin, Alberto L. Sangiovanni-Vincentelli, Carlo H. Séquin 'Zone-refining' techniques for IC layout compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17David Marple, Michiel Smulders, Henk Hegen Tailor: a layout system based on trapezoidal corner stitching. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17João Paulo Teixeira 0001, Isabel C. Teixeira, Carlos F. Beltrán Almeida, Fernando M. Gonçalves, Júlio Gonçalves, R. Crespo A strategy for testability enhancement at layout level. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Shao-Jun Wei, Jacques Leroy, Raymond Crappe An efficient two-dimensional compaction algorithm for VLSI symbolic layout. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17H. Cai, Stefaan Note, Paul Six, Hugo De Man A Data Path Layout Assembler for High Performance DSP Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Akira Onozawa Layout Compaction with Attractive and Repulsive Constraints. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Ramin Hojati Layout Optimization by Pattern Modification. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Uminder Singh, C. Y. Roger Chen A Transistor Reordering Technique for Gate Matrix Layout. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Masayuki Terai, Kazuhiro Takahashi, Koji Sato A New Min-Cut Placement Algorithm for Timing Assurance Layout Design Meeting Net Length Constraint. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Shuo Huang, Omar Wing Improved gate matrix layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17A. McBrien, J. Madden, Nigel Shadbolt Artificial intelligence methods in process plant layout. Search on Bibsonomy IEA/AIE (1) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Bryan Preas, Massoud Pedram, Don Curry Automatic Layout of Silicon-on-Silicon Hybrid Packages. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17R. F. Milsom, K. J. Scott, S. G. Clark, J. C. McEntegart, S. Ahmed, F. N. Soper FACET: A CAE System for RF Analogue Simulation Including Layout. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17K. Lee, Andrew R. Neureuther SIMPL-2: (SIMulated Profiles from the Layout-Version 2). Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Edmund M. Clarke, Yulin Feng Escher-a geometrical layout system for recursively defined circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Richard Barth, Louis Monier, Bertrand Serlet Patchwork: Layout from Schematic Annotations. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
Displaying result #801 - #900 of 11076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license