|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3194 occurrences of 1627 keywords
|
|
|
Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
12 | Chanik Park, Jeong-Uk Kang, Seon-Yeong Park, Jinsoo Kim 0001 |
Energy-aware demand paging on NAND flash-based embedded storages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 338-343, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded storages, page replacemen, embedded systems, virtual memory, NAND flash memory, demand paging |
12 | Humberto Sossa, Ricardo Barrón, José Luis Oropeza Rodríguez, Cortes Cortes |
Associative Memory Based Real-Valued Pattern Recall. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ENC ![In: 5th Mexican International Conference on Computer Science (ENC 2004), 20-24 September 2004, Colima, Mexico, pp. 206-212, 2004, IEEE Computer Society, 0-7695-2160-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Mika Laiho, Ari Paasio, Kari Halonen |
Improved cell core for a mixed-mode polynomial CNN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004, pp. 93-96, 2004, IEEE, 0-7803-8251-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Marcelo Trierveiler Pereira, Antônio Augusto Fröhlich, Hugo Marcondes |
RIFFS: Reverse Indirect Flash File System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference EUC 2004, Aizu-Wakamatsu City, Japan, August 25-27, 2004, Proceedings, pp. 30-40, 2004, Springer, 3-540-22906-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded systems, Operating systems, file systems, flash memory |
12 | Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri |
March iC-: An Improved Version of March C- for ADOFs Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 22nd IEEE VLSI Test Symposium (VTS 2004), 25-29 April 2004, Napa Valley, CA, USA, pp. 129-138, 2004, IEEE Computer Society, 0-7695-2134-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Xiaogang Du, Sudhakar M. Reddy, Don E. Ross, Wu-Tung Cheng, Joseph Rayhawk |
Memory BIST Using ESP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 22nd IEEE VLSI Test Symposium (VTS 2004), 25-29 April 2004, Napa Valley, CA, USA, pp. 243-248, 2004, IEEE Computer Society, 0-7695-2134-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Jitendra Khare |
Memory Yield Improvement - SoC Design Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2004 International Test Conference (ITC 2004), October 26-28, 2004, Charlotte, NC, USA, pp. 1445, 2004, IEEE Computer Society, 0-7803-8581-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Mikael Olausson, Anders Edman, Dake Liu |
Bit Memory Instructions for a General CPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 4th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'04), 19-21 July 2004, Banff, Alberta, Canada, pp. 215-218, 2004, IEEE Computer Society, 0-7695-2182-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Sang-Il Han, Amer Baghdadi, Marius Bonaciu, Soo-Ik Chae, Ahmed Amine Jerraya |
An efficient scalable and flexible data transfer architecture for multiprocessor SoC with massive distributed memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 250-255, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
data transfer architecture, memory server, message passing, network on chip, network interface, multiprocessor SoC |
12 | Francesco Poletti, Paul Marchal, David Atienza, Luca Benini, Francky Catthoor, Jose Manuel Mendias |
An integrated hardware/software approach for run-time scratchpad management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 238-243, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
AMBA AHB, scratchpad, DMA, dynamic allocation |
12 | Zygmunt Vetulani |
Dialogue Processing Memory for Incident Solving in Man-Machine Dialogue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMTCI ![In: Intelligent Media Technology for Communicative Intelligence, Second International Workshop, IMTCI 2004, Warsaw, Poland, September 13-14, 2004, Revised Selected Papers, pp. 195-204, 2004, Springer, 3-540-29035-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Chih-Tsun Huang, Chi-Feng Wu, Jin-Fu Li 0001, Cheng-Wen Wu |
Built-in redundancy analysis for memory yield improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Reliab. ![In: IEEE Trans. Reliab. 52(4), pp. 386-399, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante |
Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 19(5), pp. 577-584, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
fault injection, single event upsets, dependability evaluation |
12 | Enoch Peserico |
Online paging with arbitrary associativity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Fourteenth Annual ACM-SIAM Symposium on Discrete Algorithms, January 12-14, 2003, Baltimore, Maryland, USA., pp. 555-564, 2003, ACM/SIAM, 0-89871-538-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
12 | James Bergin, Dan Bernhardt |
Learning from Average Experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAL ![In: Intelligent Data Engineering and Automated Learning, 4th International Conference, IDEAL 2003, Hong Kong, China, March 21-23, 2003, Revised Papers, pp. 127-134, 2003, Springer, 3-540-40550-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Cédric Bastoul, Paul Feautrier |
Improving Data Locality by Chunking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 12th International Conference, CC 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 320-334, 2003, Springer, 3-540-00904-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Manish Verma, Lars Wehmeyer, Peter Marwedel |
Efficient Scratchpad Allocation Algorithms for Energy Constrained Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACS ![In: Power-Aware Computer Systems, Third International Workshop, PACS 2003, SanDiego, CA, USA, December 1, 2003, Revised Papers, pp. 41-56, 2003, Springer, 3-540-24031-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Anton Selikhov, Cécile Germain |
CMDE: A Channel Memory Based Dynamic Environment for Fault-Tolerant Message Passing Based on MPICH-V Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 7th International Conference, PaCT 2003, Novosibirsk, Russia, September 15-19, 2003, Proceedings, pp. 528-537, 2003, Springer, 3-540-40673-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Michael Nicolaidis, Nadir Achouri, Slimane Boutobza |
Dynamic Data-bit Memory Built-In Self- Repair. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 588-594, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Timothy P. McNamara |
How Are the Locations of Objects in the Environment Represented in Memory? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Spatial Cognition ![In: Spatial Cognition III, Routes and Navigation, Human Memory and Learning, Spatial Representation and Spatial Learning, pp. 174-191, 2003, Springer, 3-540-40430-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Manev Luthra, Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau |
Interface Synthesis using Memory Mapping for an FPGA Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 140-145, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Michael Nicolaidis, Nadir Achouri, Lorena Anghel |
A Memory Built-In Self-Repair for High Defect Densities Based on Error Polarities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 3-5 November 2003, Boston, MA, USA, Proceedings, pp. 459-466, 2003, IEEE Computer Society, 0-7695-2042-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Xiaoling Sun, Bruce F. Cockburn, Duncan G. Elliott |
An Efficient Functional Test for the Massively-Parallel C ?RAM Logic-Enhanced Memory Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 3-5 November 2003, Boston, MA, USA, Proceedings, pp. 475-, 2003, IEEE Computer Society, 0-7695-2042-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Shu-Rong Lee, Ming-Jun Hsiao, Tsin-Yuan Chang |
An Access Timing Measurement Unit of Embedded Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, pp. 104-, 2002, IEEE Computer Society, 0-7695-1825-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Preeti Ranjan Panda, Lakshmikantam Chitturi |
An energy-conscious algorithm for memory port allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 572-576, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Isabelle Puaut, David Decotigny |
Low-Complexity Algorithms for Static Cache Locking in Multitasking Hard Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 23rd IEEE Real-Time Systems Symposium (RTSS'02), Austin, Texas, USA, December 3-5, 2002, pp. 114-123, 2002, IEEE Computer Society, 0-7695-1851-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante |
Analysis of SEU Effects in a Pipelined Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTW ![In: 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 8-10 July 2002, Isle of Bendor, France, pp. 112-116, 2002, IEEE Computer Society, 0-7695-1641-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | A. T. Sivaram, Daniel Fan, A. Yiin |
Efficient Embedded Memory Testing with APG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 2002, Baltimore, MD, USA, October 7-10, 2002, pp. 47-54, 2002, IEEE Computer Society, 0-7803-7543-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | George Bosilca, Aurélien Bouteiller, Franck Cappello, Samir Djilali, Gilles Fedak, Cécile Germain, Thomas Hérault, Pierre Lemarinier, Oleg Lodygensky, Frédéric Magniette, Vincent Néri, Anton Selikhov |
MPICH-V: toward a scalable fault tolerant MPI for volatile nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the 2002 ACM/IEEE conference on Supercomputing, Baltimore, Maryland, USA, November 16-22, 2002, CD-ROM, pp. 31:1-31:18, 2002, IEEE Computer Society, 0-7695-1524-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Eisaku Ohbuchi, Hiroshi Unno 0004 |
A Real-Time Configurable Shader Based on Lookup Tables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CW ![In: 1st International Symposium on Cyber Worlds (CW 2002), 6-8 November 2002, Tokyo, Japan, pp. 507-514, 2002, IEEE Computer Society, 0-7695-1862-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Chih-Hsien Hsu, Shyue-Kung Lu |
Fault-tolerance design of memory systems based on DBL structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS (1) ![In: IEEE Asia Pacific Conference on Circuits and Systems 2002, APCCAS 2002, Singapore, 16-18 December 2002, pp. 221-224, 2002, IEEE, 0-7803-7690-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Mohammad Gh. Mohammad, Kewal K. Saluja, Alex S. Yap |
Fault Models and Test Procedures for Flash Memory Disturbances. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 17(6), pp. 495-508, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
program disturbance, fault model, flash memory, test algorithms |
12 | Kuo-Liang Cheng, Chia-Ming Hsueh, Jing-Reng Huang, Jen-Chieh Yeh, Chih-Tsun Huang, Cheng-Wen Wu |
Automatic Generation of Memory Built-in Self-Test Cores for System-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, pp. 91-96, 2001, IEEE Computer Society, 0-7695-1378-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Brian R. Kessler, Jeffrey H. Dreibelbis, Tim McMahon, Joshua S. McCloy, Rex Kho |
BIST-Based Bitfail Mapping of an Embedded DRAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 9th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2001), 6-7 August 2001, San Jose, CA, USA, pp. 29-, 2001, IEEE Computer Society, 0-7695-1242-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Jean-Philippe Kotowicz, Xavier Briffault |
Cooperation as a Communication process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSCWD ![In: Proceedings of the Sixth International Conference on Computer Supported Cooperative Work in Design, CSCWD 2001, July 12-14, 2001, London, Ontario, Canada, pp. 123-128, 2001, IEEE, 0660184931. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Lubomir Ivanov, Ramakrishna Nunna |
Modeling and verification of cache coherence protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 129-132, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | John T. Chen, Wojciech Maly, Janusz Rajski, Omar Kebichi, Jitendra Khare |
Enabling Embedded Memory Diagnosis via Test Response Compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, USA, pp. 292-298, 2001, IEEE Computer Society, 0-7695-1122-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
diagnosis, BIST, process monitoring, bitmap, RAM testing, memory repair |
12 | Sang Seok Lim, Yunheung Paek, Kyu Ho Park, Jay P. Hoeflinger |
A Parallel Programming Environment for a V-Busbased PC-cluste. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2001 IEEE International Conference on Cluster Computing (CLUSTER 2001), 8-11 October 2001, Newport Beach, CA, USA, pp. 235-, 2001, IEEE Computer Society, 0-7695-1116-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Automatic ParallelizingCompiler, LMAD(Linear Memory Access Descriptor), parallel, MPI, PC-cluster, SPMD |
12 | David G. Schwartz, Dov Te'eni |
Tying Knowledge to Action with kMail. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Intell. Syst. ![In: IEEE Intell. Syst. 15(3), pp. 33-39, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Joohee Kim, Marios C. Papaefthymiou |
Dynamic Memory Design for Low Data-Retention Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation, 10th International Workshop, PATMOS 2000, Göttingen, Germany, September 13-15, 2000, Proceedings, pp. 207-216, 2000, Springer, 3-540-41068-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Cheng-Fa Tsai |
Fuzzy Data Recognition by Polynomial Bidirectional Heteroassociator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 24th International Computer Software and Applications Conference (COMPSAC 2000), 25-28 October 2000, Taipei, Taiwan, pp. 204-209, 2000, IEEE Computer Society, 0-7695-0792-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Bruce Schulman, Gerald G. Pechanek |
A 90k Gate "CLB" for Parallel Distributed Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: Parallel and Distributed Processing, 15 IPDPS 2000 Workshops, Cancun, Mexico, May 1-5, 2000, Proceedings, pp. 831-838, 2000, Springer, 3-540-67442-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Krassimir Georgiev, Jerzy Wasniewski |
Recursive Version of LU Decomposition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAA ![In: Numerical Analysis and Its Applications, Second International Conference, NAA 2000, Rousse, Bulgaria, June 11-15, 2000, Revised Papers, pp. 325-332, 2000, Springer, 3-540-41814-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
LU factorization AMS Subject Classifications: 65F05, FORTRAN 90, recursive algorithms, numerical linear algebra, 65Y10 |
12 | Chua-Chin Wang, Cheng-Fa Tsai |
A Novel Neural Architecture with High Storage Capacity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN (5) ![In: Proceedings of the IEEE-INNS-ENNS International Joint Conference on Neural Networks, IJCNN 2000, Neural Computing: New Challenges and Perspectives for the New Millennium, Como, Italy, July 24-27, 2000, Volume 5, pp. 617-621, 2000, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
associative memory, fuzzy neural networks |
12 | Melvin A. Breuer |
High End and Low End Applications for Defective Chips: Enhanced Availability and Acceptability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 18th IEEE VLSI Test Symposium (VTS 2000), 30 April - 4 May 2000, Montreal, Canada, pp. 473-474, 2000, IEEE Computer Society, 0-7695-0613-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Milo M. K. Martin, Daniel J. Sorin, Anastassia Ailamaki, Alaa R. Alameldeen, Ross M. Dickson, Carl J. Mauer, Kevin E. Moore, Manoj Plakal, Mark D. Hill, David A. Wood 0001 |
Timestamp snooping: an approach for extending SMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, MA, USA, November 12-15, 2000., pp. 25-36, 2000, ACM Press, 1-58113-317-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Preeti Ranjan Panda, Nikil D. Dutt |
Low-power memory mapping through reducing address bus activity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 7(3), pp. 309-320, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Von-Kyoung Kim, Tom Chen 0001 |
On comparing functional fault coverage and defect coverage for memory testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(11), pp. 1676-1683, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Koichiro Yamauchi 0001, Nobuhiko Yamaguchi, Naohiro Ishii |
Incremental learning methods with retrieving of interfered patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Neural Networks ![In: IEEE Trans. Neural Networks 10(6), pp. 1351-1365, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Alfonso Renart, Néstor Parga, Edmund T. Rolls |
Connected Cortial Recurrent Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN (1) ![In: Foundations and Tools for Neural Modeling, International Work-Conference on Artificial and Natural Neural Networks, IWANN '99, Alicante, Spain, June 2-4, 1999, Proceedings, Volume I, pp. 163-170, 1999, Springer, 3-540-66069-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Michael Nicolaidis, Yervant Zorian |
Scaling Deeper to Submicron: On-Line Testing to the Rescue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1999 Design, Automation and Test in Europe (DATE '99), 9-12 March 1999, Munich, Germany, pp. 432-, 1999, IEEE Computer Society / ACM, 0-7695-0078-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Lilia Yerosheva, Peter M. Kogge |
Prototyping Execution Models for HTMT Petaflop Machine in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANPC ![In: Network-Based Parallel Computing: Communication, Architecture, and Applications, Third International Workshop, CANPC '99, Orlando, Forida, USA, January 9, 1999, Proceedings, pp. 32-46, 1999, Springer, 3-540-65915-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Daniel P. Van der Velde, Ad J. van de Goor |
Designing a Memory Module Tester. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 7th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT '99), August 9-10, 1999, San Jose, CA, USA, pp. 91-, 1999, IEEE Computer Society, 0-7695-0259-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Martin Margala |
Low Power SRAMs for Battery Operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 7th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT '99), August 9-10, 1999, San Jose, CA, USA, pp. 6-, 1999, IEEE Computer Society, 0-7695-0259-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Jonathan Babb, Martin C. Rinard, Csaba Andras Moritz, Walter Lee, Matthew I. Frank, Rajeev Barua, Saman P. Amarasinghe |
Parallelizing Applications into Silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 21-23 April 1999, Napa, CA, USA, pp. 70-, 1999, IEEE Computer Society, 0-7695-0375-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Uming Ko, Poras T. Balsara, Ashwini K. Nanda |
Energy optimization of multilevel cache architectures for RISC and CISC processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 6(2), pp. 299-308, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
12 | Miroslav N. Velev, Randal E. Bryant |
Verification of Pipelined Microprocessors by Correspondence Checking in Symbolic Ternary Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSD ![In: 1st International Conference on Application of Concurrency to System Design (ACSD '98), 23-26 March 1998, Fukushima, Japan, pp. 200-212, 1998, IEEE Computer Society, 0-8186-8350-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
pipelined microprocessor verification, memory shadowing, Efficient Memory Model (EMM), circuit correspondence checking, symbolic simulation |
12 | Motonobu Hattori, Masafumi Hagiwara |
Neural associative memory for intelligent information processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (2) ![In: Knowledge-Based Intelligent Electronic Systems, 2nd International Conference, KES 1998, Adelaide, South Australia, 21-23 April 1998, Proceedings, Part II, pp. 377-386, 1998, IEEE. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
12 | Per Larsson-Edefors |
A Miniature Serial-Data SIMD Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 24th EUROMICRO '98 Conference, Engineering Systems and Software for the Next Decade, 25-27 August 1998, Vesteras, Sweden, pp. 10341-10344, 1998, IEEE Computer Society, 0-8186-8646-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
12 | Jürgen Teich, Lothar Thiele, Lee Z. Zhang |
Partitioning Processor Arrays under Resource Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 17(1), pp. 5-20, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
12 | Liuxi Yang, Josep Torrellas |
Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 4-13, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors |
12 | Fredrik Dahlgren, Anders Landin |
Reducing the Replacement Overhead in Bus-Based COMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 14-23, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
broken inclusion, memory pressure, replacement traffic, loose inclusion, no inclusion, shared memory, COMA |
12 | Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau |
Exploiting off-chip memory access modes in high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 333-340, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
High Level Synthesis, DRAM, Memory Synthesis |
12 | Christine Fricker, Olivier Temam, William Jalby |
Influence of Cross-Interferences on Blocked Loops: A Case Study with Matric-Vector Multiply ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 17(4), pp. 561-575, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
cache conflicts (interferences), data locality optimization, blocking, cache performance, numerical codes |
12 | Frank Mueller 0001 |
Compiler Support for Software-Based Cache Partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Languages, Compilers, & Tools for Real-Time Systems ![In: Proceedings of the ACM SIGPLAN 1995 Workshop on Languages, Compilers, & Tools for Real-Time Systems (LCT-RTS 1995). La Jolla, California, USA, June 21-22, 1995, pp. 125-133, 1995, ACM. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
12 | Ilkka Tuomi |
Abstraction and history-from institutional amnesia to organizational memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (4) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 303-312, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
institutional memory, consensual cognitive abstractions, support system implementations, generic design processes, product concept specification, information systems, information systems, organizational learning, learning systems, organizational memory, strategic planning, strategic planning, cognitive systems |
12 | Bruce F. Cockburn |
Tutorial on semiconductor memory testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 5(4), pp. 321-336, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
Design for testability, fault models, functional test, memory testing, memory design |
12 | Michael Wu 0003, Willy Zwaenepoel |
eNVy: A Non-Volatile, Main Memory Storage System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VI Proceedings - Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 4-7, 1994., pp. 86-97, 1994, ACM Press, 0-89791-660-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Sundarar Mohan, Pinaki Mazumder |
Analytical and simulation studies of failure modes in SRAMs using high electron mobility transistors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(12), pp. 1885-1896, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
12 | Warren H. Debany Jr., Kevin A. Kwiat, Sami A. Al-Arian |
A Method for Consistent Fault Coverage Reporting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 10(3), pp. 68-79, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
12 | Michihiro Inoue, Toshio Yamada, Atsushi Fujiwara |
A New Testing Acceleration Chip for Low-Cost Memory Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 10(1), pp. 15-19, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
12 | Hiroshi Miyano |
A Method to Estimate the Number of Ciphertext Pairs for Differential Cryptanalysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIACRYPT ![In: Advances in Cryptology - ASIACRYPT '91, International Conference on the Theory and Applications of Cryptology, Fujiyoshida, Japan, November 11-14, 1991, Proceedings, pp. 51-58, 1991, Springer, 3-540-57332-1. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Janusz A. Brzozowski, Bruce F. Cockburn |
Detection of coupling faults in RAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 1(2), pp. 151-162, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
toggling faults, fault modeling, coupling faults, RAM testing, optimal tests |
12 | Benoit Nadeau-Dostie, Allan Silburt, Vinod K. Agarwal |
Serial Interfacing for Embedded-Memory Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 7(2), pp. 52-63, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
12 | Tsang-Ling Sheu, Yuan-Bao Shieh, Woei Lin |
The selection of optimal cache lines for microprocessor-based controllers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1990, Orlando, Florida, USA, November 27-29, 1990, pp. 183-192, 1990, ACM/IEEE, 0-89791-413-9. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP BibTeX RDF |
|
12 | Tae Nam Ahn, Kohichi Sakaniwa, T. R. N. Rao |
A Construction Method for m-ary Unidirectional Error Control Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAECC ![In: Applied Algebra, Algebraic Algorithms and Error-Correcting Codes, 8th International Symposium, AAECC-8, Tokyo, Japan, August 20-24, 1990, Proceedings, pp. 71-85, 1990, Springer, 3-540-54195-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
12 | M. Morioka |
S. Yamaguchi, T. Bandoh: Evaluation of Memory System for Integrated Prolog Processor IPP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 203-210, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
Prolog |
12 | Andrew Birrell, Michael B. Jones, Edward Wobber |
A Simple and Efficient Implementation for Small Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the Eleventh ACM Symposium on Operating System Principles, SOSP 1987, Stouffer Austin Hotel, Austin, Texas, USA, November 8-11, 1987, pp. 149-154, 1987, ACM, 0-89791-242-X. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
12 | Linda Turpin |
Motivation for and current work on copaging cache (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the 13th ACM Annual Conference on Computer Science, New Orleans, Louisiana, USA, 1985, pp. 430, 1985, ACM, 0-89791-150-4. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
12 | Trevor N. Mudge, B. A. Makrucki |
Probabilistic analysis of a crossbar switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 9th International Symposium on Computer Architecture (ISCA 1982), Austin, TX, USA, April 26-29, 1982, pp. 311-320, 1982, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP BibTeX RDF |
|
12 | Roberto Bisiani |
The Harpy Machine: A Data Structure-Oriented Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Architecture for Non-Numeric Processing ![In: The Papers of the Fifth Workshop on Computer Architecture for Non-Numeric Processing, Pacific Grove, CA, USA, March 11-14, 1980, pp. 128-136, 1980, ACM, 0-89791-025-7. The full citation details ...](Pics/full.jpeg) |
1980 |
DBLP DOI BibTeX RDF |
HARPY |
12 | Murray Edelberg, L. Robert Schissler |
Intelligent memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1976 National Computer Conference, 7-10 June 1976, New York, NY, USA, pp. 393-400, 1976, AFIPS Press, 978-1-4503-7917-5. The full citation details ...](Pics/full.jpeg) |
1976 |
DBLP DOI BibTeX RDF |
|
12 | I. V. Vel'bitskiy |
Metalanguage for formal definition of semantics of programming languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods of Algorithmic Language Implementation ![In: Methods of Algorithmic Language Implementation [Symposium, September 10-13, 1975, Novosibirsk, Russia, Proceedings], pp. 105-128, 1975, Springer, 3-540-08065-1. The full citation details ...](Pics/full.jpeg) |
1975 |
DBLP DOI BibTeX RDF |
|
12 | Jack I. Raffel, Allan H. Anderson, Thomas S. Crowther, Terry O. Herndon, Charles E. Woodward |
A progress report on large capacity magnetic film memory development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS Spring Joint Computing Conference ![In: American Federation of Information Processing Societies: AFIPS Conference Proceedings: 1968 Spring Joint Computer Conference, Atlantic City, NJ, USA, 30 April - 2 May 1968, pp. 259-265, 1968, Thomson Book Company, Washington D.C., 978-1-4503-7897-0. The full citation details ...](Pics/full.jpeg) |
1968 |
DBLP DOI BibTeX RDF |
|
10 | Esteban Garzón, Robert Hanhan, Marco Lanuzza, Adam Teman, Leonid Yavits |
FASTA: Revisiting Fully Associative Memories in Computer Microarchitecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 12, pp. 13923-13943, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Andrea Sánchez-Corzo, David M. Baum, Martín Irani, Svenja Hinrichs, Renate Reisenegger, Grace A Whitaker, Jan Born, Ranganatha Sitaram, Jens G. Klinzing |
Odor cueing of declarative memories during sleep enhances coordinated spindles and slow oscillations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeuroImage ![In: NeuroImage 287, pp. 120521, February 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Riccardo Vignali, Riccardo Zurla, Marco Pasotti, Pier Luigi Rolandi, Abhairaj Singh, Manuel Le Gallo, Abu Sebastian, Taekwang Jang, Alessio Antolini, Eleonora Franchi Scarselli, Alessandro Cabrini |
Designing Circuits for AiMC Based on Non-Volatile Memories: A Tutorial Brief on Trade-Off and Strategies for ADCs and DACs Co-Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. II Express Briefs ![In: IEEE Trans. Circuits Syst. II Express Briefs 71(3), pp. 1650-1655, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Lan Wen, Chong Kim Ong |
Study of Short-Term and Long-Term Memories by Hodgkin-Huxley Memristor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Bifurc. Chaos ![In: Int. J. Bifurc. Chaos 34(2), pp. 2450040:1-2450040:10, February 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Jim Handy, Tom Coughlin 0001 |
How Emerging Memories Extend Battery Life. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 57(3), pp. 113-116, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Zhe Wang, Yan Liu, Jiuren Zhou, Genquan Han |
Double-gated ferroelectric-gate field-effect-transistor for multi-bit content-addressable memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 143, pp. 106030, January 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Taixin Li, Boran Sun, Hongtao Zhong, Yixin Xu, Vijaykrishnan Narayanan, Liang Shi, Tianyi Wang, Yao Yu, Thomas Kämpfe, Kai Ni 0004, Huazhong Yang, Xueqing Li |
ProtFe: Low-Cost Secure Power Side-Channel Protection for General and Custom FeFET-Based Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 29(1), pp. 3:1-3:18, January 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Michele Resta, Davide Bacciu |
Self-generated Replay Memories for Continual Neural Machine Translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.13130, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | M. Carmen Aguilera-Morillo, Ana M. Aguilera, Francisco Jiménez-Molinos, Juan Bautista Roldán |
Stochastic modeling of Random Access Memories reset transitions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.05209, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Zihan Qiu, Zeyu Huang, Youcheng Huang, Jie Fu |
Empirical Study on Updating Key-Value Memories in Transformer Feed-forward Layers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.12233, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Siri Narla, Piyush Kumar, Mohammad Adnaan, Azad Naeemi |
Cross-layer Modeling and Design of Content Addressable Memories in Advanced Technology Nodes for Similarity Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.15328, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Jie Liu, Xuequn Shang, Xiaolin Han, Wentao Zhang, Hongzhi Yin |
Spatial-temporal Memories Enhanced Graph Autoencoder for Anomaly Detection in Dynamic Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.09039, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Tommaso Salvatori, Beren Millidge, Yuhang Song 0001, Rafal Bogacz, Thomas Lukasiewicz |
Associative Memories in the Feature Space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.10814, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Vivien Cabannes, Berfin Simsek, Alberto Bietti |
Learning Associative Memories with Gradient Descent. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.18724, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Roy Barzel, Mustafa Gündogan, Markus Krutzik, Dennis Rätzel, Claus Lämmerzahl |
Entanglement dynamics of photon pairs and quantum memories in the gravitational field of the earth. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Quantum ![In: Quantum 8, pp. 1273, February 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
10 | Benedikt Bünz, Jessica Chen |
Proofs for Deep Thought: Accumulation for large memories and deterministic computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2024, pp. 325, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP BibTeX RDF |
|
|
|