The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memories with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1962 (16) 1963-1966 (23) 1967-1968 (19) 1969-1971 (17) 1972-1974 (23) 1975 (20) 1976 (15) 1977 (22) 1978 (18) 1979 (19) 1980 (15) 1981-1982 (23) 1983 (17) 1984 (24) 1985 (22) 1986 (17) 1987 (20) 1988 (40) 1989 (37) 1990 (49) 1991 (56) 1992 (46) 1993 (49) 1994 (65) 1995 (60) 1996 (71) 1997 (80) 1998 (107) 1999 (104) 2000 (129) 2001 (121) 2002 (152) 2003 (204) 2004 (234) 2005 (248) 2006 (299) 2007 (308) 2008 (276) 2009 (215) 2010 (174) 2011 (135) 2012 (188) 2013 (190) 2014 (184) 2015 (182) 2016 (178) 2017 (188) 2018 (185) 2019 (183) 2020 (166) 2021 (147) 2022 (156) 2023 (177) 2024 (17)
Publication types (Num. hits)
article(2012) book(7) data(1) incollection(90) inproceedings(3508) phdthesis(111) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(219) IEEE Trans. Computers(161) DATE(117) IEEE Trans. Very Large Scale I...(85) DAC(73) IEEE Trans. Comput. Aided Des....(68) ITC(68) ISCAS(59) VTS(58) J. Electron. Test.(56) IJCNN(53) MTDT(53) ISIT(50) ISCA(49) ASP-DAC(46) DFT(46) More (+10 of total 1379)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3194 occurrences of 1627 keywords

Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Chanik Park, Jeong-Uk Kang, Seon-Yeong Park, Jinsoo Kim 0001 Energy-aware demand paging on NAND flash-based embedded storages. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded storages, page replacemen, embedded systems, virtual memory, NAND flash memory, demand paging
12Humberto Sossa, Ricardo Barrón, José Luis Oropeza Rodríguez, Cortes Cortes Associative Memory Based Real-Valued Pattern Recall. Search on Bibsonomy ENC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mika Laiho, Ari Paasio, Kari Halonen Improved cell core for a mixed-mode polynomial CNN. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Marcelo Trierveiler Pereira, Antônio Augusto Fröhlich, Hugo Marcondes RIFFS: Reverse Indirect Flash File System. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, Operating systems, file systems, flash memory
12Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri March iC-: An Improved Version of March C- for ADOFs Detection. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Xiaogang Du, Sudhakar M. Reddy, Don E. Ross, Wu-Tung Cheng, Joseph Rayhawk Memory BIST Using ESP. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jitendra Khare Memory Yield Improvement - SoC Design Perspective. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mikael Olausson, Anders Edman, Dake Liu Bit Memory Instructions for a General CPU. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sang-Il Han, Amer Baghdadi, Marius Bonaciu, Soo-Ik Chae, Ahmed Amine Jerraya An efficient scalable and flexible data transfer architecture for multiprocessor SoC with massive distributed memory. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF data transfer architecture, memory server, message passing, network on chip, network interface, multiprocessor SoC
12Francesco Poletti, Paul Marchal, David Atienza, Luca Benini, Francky Catthoor, Jose Manuel Mendias An integrated hardware/software approach for run-time scratchpad management. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF AMBA AHB, scratchpad, DMA, dynamic allocation
12Zygmunt Vetulani Dialogue Processing Memory for Incident Solving in Man-Machine Dialogue. Search on Bibsonomy IMTCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Chih-Tsun Huang, Chi-Feng Wu, Jin-Fu Li 0001, Cheng-Wen Wu Built-in redundancy analysis for memory yield improvement. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault injection, single event upsets, dependability evaluation
12Enoch Peserico Online paging with arbitrary associativity. Search on Bibsonomy SODA The full citation details ... 2003 DBLP  BibTeX  RDF
12James Bergin, Dan Bernhardt Learning from Average Experience. Search on Bibsonomy IDEAL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Cédric Bastoul, Paul Feautrier Improving Data Locality by Chunking. Search on Bibsonomy CC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Manish Verma, Lars Wehmeyer, Peter Marwedel Efficient Scratchpad Allocation Algorithms for Energy Constrained Embedded Systems. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Anton Selikhov, Cécile Germain CMDE: A Channel Memory Based Dynamic Environment for Fault-Tolerant Message Passing Based on MPICH-V Architecture. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Michael Nicolaidis, Nadir Achouri, Slimane Boutobza Dynamic Data-bit Memory Built-In Self- Repair. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Timothy P. McNamara How Are the Locations of Objects in the Environment Represented in Memory? Search on Bibsonomy Spatial Cognition The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Manev Luthra, Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau Interface Synthesis using Memory Mapping for an FPGA Platform. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Michael Nicolaidis, Nadir Achouri, Lorena Anghel A Memory Built-In Self-Repair for High Defect Densities Based on Error Polarities. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Xiaoling Sun, Bruce F. Cockburn, Duncan G. Elliott An Efficient Functional Test for the Massively-Parallel C ?RAM Logic-Enhanced Memory Architecture. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Shu-Rong Lee, Ming-Jun Hsiao, Tsin-Yuan Chang An Access Timing Measurement Unit of Embedded Memory. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Preeti Ranjan Panda, Lakshmikantam Chitturi An energy-conscious algorithm for memory port allocation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Isabelle Puaut, David Decotigny Low-Complexity Algorithms for Static Cache Locking in Multitasking Hard Real-Time Systems. Search on Bibsonomy RTSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante Analysis of SEU Effects in a Pipelined Processor. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12A. T. Sivaram, Daniel Fan, A. Yiin Efficient Embedded Memory Testing with APG. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12George Bosilca, Aurélien Bouteiller, Franck Cappello, Samir Djilali, Gilles Fedak, Cécile Germain, Thomas Hérault, Pierre Lemarinier, Oleg Lodygensky, Frédéric Magniette, Vincent Néri, Anton Selikhov MPICH-V: toward a scalable fault tolerant MPI for volatile nodes. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Eisaku Ohbuchi, Hiroshi Unno 0004 A Real-Time Configurable Shader Based on Lookup Tables. Search on Bibsonomy CW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Chih-Hsien Hsu, Shyue-Kung Lu Fault-tolerance design of memory systems based on DBL structures. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Mohammad Gh. Mohammad, Kewal K. Saluja, Alex S. Yap Fault Models and Test Procedures for Flash Memory Disturbances. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF program disturbance, fault model, flash memory, test algorithms
12Kuo-Liang Cheng, Chia-Ming Hsueh, Jing-Reng Huang, Jen-Chieh Yeh, Chih-Tsun Huang, Cheng-Wen Wu Automatic Generation of Memory Built-in Self-Test Cores for System-on-Chip. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Brian R. Kessler, Jeffrey H. Dreibelbis, Tim McMahon, Joshua S. McCloy, Rex Kho BIST-Based Bitfail Mapping of an Embedded DRAM. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Jean-Philippe Kotowicz, Xavier Briffault Cooperation as a Communication process. Search on Bibsonomy CSCWD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Lubomir Ivanov, Ramakrishna Nunna Modeling and verification of cache coherence protocols. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12John T. Chen, Wojciech Maly, Janusz Rajski, Omar Kebichi, Jitendra Khare Enabling Embedded Memory Diagnosis via Test Response Compression. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF diagnosis, BIST, process monitoring, bitmap, RAM testing, memory repair
12Sang Seok Lim, Yunheung Paek, Kyu Ho Park, Jay P. Hoeflinger A Parallel Programming Environment for a V-Busbased PC-cluste. Search on Bibsonomy CLUSTER The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Automatic ParallelizingCompiler, LMAD(Linear Memory Access Descriptor), parallel, MPI, PC-cluster, SPMD
12David G. Schwartz, Dov Te'eni Tying Knowledge to Action with kMail. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Joohee Kim, Marios C. Papaefthymiou Dynamic Memory Design for Low Data-Retention Power. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Cheng-Fa Tsai Fuzzy Data Recognition by Polynomial Bidirectional Heteroassociator. Search on Bibsonomy COMPSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Bruce Schulman, Gerald G. Pechanek A 90k Gate "CLB" for Parallel Distributed Computing. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Krassimir Georgiev, Jerzy Wasniewski Recursive Version of LU Decomposition. Search on Bibsonomy NAA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF LU factorization AMS Subject Classifications: 65F05, FORTRAN 90, recursive algorithms, numerical linear algebra, 65Y10
12Chua-Chin Wang, Cheng-Fa Tsai A Novel Neural Architecture with High Storage Capacity. Search on Bibsonomy IJCNN (5) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF associative memory, fuzzy neural networks
12Melvin A. Breuer High End and Low End Applications for Defective Chips: Enhanced Availability and Acceptability. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Milo M. K. Martin, Daniel J. Sorin, Anastassia Ailamaki, Alaa R. Alameldeen, Ross M. Dickson, Carl J. Mauer, Kevin E. Moore, Manoj Plakal, Mark D. Hill, David A. Wood 0001 Timestamp snooping: an approach for extending SMPs. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Preeti Ranjan Panda, Nikil D. Dutt Low-power memory mapping through reducing address bus activity. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Von-Kyoung Kim, Tom Chen 0001 On comparing functional fault coverage and defect coverage for memory testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Koichiro Yamauchi 0001, Nobuhiko Yamaguchi, Naohiro Ishii Incremental learning methods with retrieving of interfered patterns. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Alfonso Renart, Néstor Parga, Edmund T. Rolls Connected Cortial Recurrent Networks. Search on Bibsonomy IWANN (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Michael Nicolaidis, Yervant Zorian Scaling Deeper to Submicron: On-Line Testing to the Rescue. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Lilia Yerosheva, Peter M. Kogge Prototyping Execution Models for HTMT Petaflop Machine in Java. Search on Bibsonomy CANPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Daniel P. Van der Velde, Ad J. van de Goor Designing a Memory Module Tester. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Martin Margala Low Power SRAMs for Battery Operation. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Jonathan Babb, Martin C. Rinard, Csaba Andras Moritz, Walter Lee, Matthew I. Frank, Rajeev Barua, Saman P. Amarasinghe Parallelizing Applications into Silicon. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Uming Ko, Poras T. Balsara, Ashwini K. Nanda Energy optimization of multilevel cache architectures for RISC and CISC processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Miroslav N. Velev, Randal E. Bryant Verification of Pipelined Microprocessors by Correspondence Checking in Symbolic Ternary Simulation. Search on Bibsonomy ACSD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF pipelined microprocessor verification, memory shadowing, Efficient Memory Model (EMM), circuit correspondence checking, symbolic simulation
12Motonobu Hattori, Masafumi Hagiwara Neural associative memory for intelligent information processing. Search on Bibsonomy KES (2) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Per Larsson-Edefors A Miniature Serial-Data SIMD Architecture. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Jürgen Teich, Lothar Thiele, Lee Z. Zhang Partitioning Processor Arrays under Resource Constraints. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Liuxi Yang, Josep Torrellas Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors
12Fredrik Dahlgren, Anders Landin Reducing the Replacement Overhead in Bus-Based COMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF broken inclusion, memory pressure, replacement traffic, loose inclusion, no inclusion, shared memory, COMA
12Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau Exploiting off-chip memory access modes in high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF High Level Synthesis, DRAM, Memory Synthesis
12Christine Fricker, Olivier Temam, William Jalby Influence of Cross-Interferences on Blocked Loops: A Case Study with Matric-Vector Multiply Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cache conflicts (interferences), data locality optimization, blocking, cache performance, numerical codes
12Frank Mueller 0001 Compiler Support for Software-Based Cache Partitioning. Search on Bibsonomy Workshop on Languages, Compilers, & Tools for Real-Time Systems The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Ilkka Tuomi Abstraction and history-from institutional amnesia to organizational memory. Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF institutional memory, consensual cognitive abstractions, support system implementations, generic design processes, product concept specification, information systems, information systems, organizational learning, learning systems, organizational memory, strategic planning, strategic planning, cognitive systems
12Bruce F. Cockburn Tutorial on semiconductor memory testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Design for testability, fault models, functional test, memory testing, memory design
12Michael Wu 0003, Willy Zwaenepoel eNVy: A Non-Volatile, Main Memory Storage System. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Sundarar Mohan, Pinaki Mazumder Analytical and simulation studies of failure modes in SRAMs using high electron mobility transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Warren H. Debany Jr., Kevin A. Kwiat, Sami A. Al-Arian A Method for Consistent Fault Coverage Reporting. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Michihiro Inoue, Toshio Yamada, Atsushi Fujiwara A New Testing Acceleration Chip for Low-Cost Memory Tests. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Hiroshi Miyano A Method to Estimate the Number of Ciphertext Pairs for Differential Cryptanalysis. Search on Bibsonomy ASIACRYPT The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Janusz A. Brzozowski, Bruce F. Cockburn Detection of coupling faults in RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF toggling faults, fault modeling, coupling faults, RAM testing, optimal tests
12Benoit Nadeau-Dostie, Allan Silburt, Vinod K. Agarwal Serial Interfacing for Embedded-Memory Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Tsang-Ling Sheu, Yuan-Bao Shieh, Woei Lin The selection of optimal cache lines for microprocessor-based controllers. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
12Tae Nam Ahn, Kohichi Sakaniwa, T. R. N. Rao A Construction Method for m-ary Unidirectional Error Control Codes. Search on Bibsonomy AAECC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12M. Morioka S. Yamaguchi, T. Bandoh: Evaluation of Memory System for Integrated Prolog Processor IPP. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Prolog
12Andrew Birrell, Michael B. Jones, Edward Wobber A Simple and Efficient Implementation for Small Databases. Search on Bibsonomy SOSP The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Linda Turpin Motivation for and current work on copaging cache (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12Trevor N. Mudge, B. A. Makrucki Probabilistic analysis of a crossbar switch. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
12Roberto Bisiani The Harpy Machine: A Data Structure-Oriented Architecture. Search on Bibsonomy Computer Architecture for Non-Numeric Processing The full citation details ... 1980 DBLP  DOI  BibTeX  RDF HARPY
12Murray Edelberg, L. Robert Schissler Intelligent memory. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
12I. V. Vel'bitskiy Metalanguage for formal definition of semantics of programming languages. Search on Bibsonomy Methods of Algorithmic Language Implementation The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
12Jack I. Raffel, Allan H. Anderson, Thomas S. Crowther, Terry O. Herndon, Charles E. Woodward A progress report on large capacity magnetic film memory development. Search on Bibsonomy AFIPS Spring Joint Computing Conference The full citation details ... 1968 DBLP  DOI  BibTeX  RDF
10Esteban Garzón, Robert Hanhan, Marco Lanuzza, Adam Teman, Leonid Yavits FASTA: Revisiting Fully Associative Memories in Computer Microarchitecture. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Andrea Sánchez-Corzo, David M. Baum, Martín Irani, Svenja Hinrichs, Renate Reisenegger, Grace A Whitaker, Jan Born, Ranganatha Sitaram, Jens G. Klinzing Odor cueing of declarative memories during sleep enhances coordinated spindles and slow oscillations. Search on Bibsonomy NeuroImage The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Riccardo Vignali, Riccardo Zurla, Marco Pasotti, Pier Luigi Rolandi, Abhairaj Singh, Manuel Le Gallo, Abu Sebastian, Taekwang Jang, Alessio Antolini, Eleonora Franchi Scarselli, Alessandro Cabrini Designing Circuits for AiMC Based on Non-Volatile Memories: A Tutorial Brief on Trade-Off and Strategies for ADCs and DACs Co-Design. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Lan Wen, Chong Kim Ong Study of Short-Term and Long-Term Memories by Hodgkin-Huxley Memristor. Search on Bibsonomy Int. J. Bifurc. Chaos The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Jim Handy, Tom Coughlin 0001 How Emerging Memories Extend Battery Life. Search on Bibsonomy Computer The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Zhe Wang, Yan Liu, Jiuren Zhou, Genquan Han Double-gated ferroelectric-gate field-effect-transistor for multi-bit content-addressable memories. Search on Bibsonomy Microelectron. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Taixin Li, Boran Sun, Hongtao Zhong, Yixin Xu, Vijaykrishnan Narayanan, Liang Shi, Tianyi Wang, Yao Yu, Thomas Kämpfe, Kai Ni 0004, Huazhong Yang, Xueqing Li ProtFe: Low-Cost Secure Power Side-Channel Protection for General and Custom FeFET-Based Memories. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Michele Resta, Davide Bacciu Self-generated Replay Memories for Continual Neural Machine Translation. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10M. Carmen Aguilera-Morillo, Ana M. Aguilera, Francisco Jiménez-Molinos, Juan Bautista Roldán Stochastic modeling of Random Access Memories reset transitions. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Zihan Qiu, Zeyu Huang, Youcheng Huang, Jie Fu Empirical Study on Updating Key-Value Memories in Transformer Feed-forward Layers. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Siri Narla, Piyush Kumar, Mohammad Adnaan, Azad Naeemi Cross-layer Modeling and Design of Content Addressable Memories in Advanced Technology Nodes for Similarity Search. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Jie Liu, Xuequn Shang, Xiaolin Han, Wentao Zhang, Hongzhi Yin Spatial-temporal Memories Enhanced Graph Autoencoder for Anomaly Detection in Dynamic Graphs. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Tommaso Salvatori, Beren Millidge, Yuhang Song 0001, Rafal Bogacz, Thomas Lukasiewicz Associative Memories in the Feature Space. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Vivien Cabannes, Berfin Simsek, Alberto Bietti Learning Associative Memories with Gradient Descent. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Roy Barzel, Mustafa Gündogan, Markus Krutzik, Dennis Rätzel, Claus Lämmerzahl Entanglement dynamics of photon pairs and quantum memories in the gravitational field of the earth. Search on Bibsonomy Quantum The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Benedikt Bünz, Jessica Chen Proofs for Deep Thought: Accumulation for large memories and deterministic computations. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2024 DBLP  BibTeX  RDF
Displaying result #801 - #900 of 5730 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license