The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
8Jason Cong, Ashok Jagannathan, Yuchun Ma, Glenn Reinman, Jie Wei, Yan Zhang An automated design flow for 3D microarchitecture evaluation. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8José Manuel Colmenar, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo, Guadalupe Miñana, Sonia López Sim-async: An Architectural Simulator for Asynchronous Processor Modeling Using Distribution Functions. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Jonathan Barre, Cédric Landet, Christine Rochange, Pascal Sainrat Modeling Instruction-Level Parallelism for WCET Evaluation. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Lih Wen Koh, Oliver Diessel Functional Unit Chaining: A Runtime Adaptive Architecture for Reducing Bypass Delays. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Wei-Chun Ku, Shu-Hsuan Chou, Jui-Chin Chu, Chih-Heng Kang, Tien-Fu Chen, Jiun-In Guo Collaborative Multithreading: An Open Scalable Processor Architecture for Embedded Multimedia Applications. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev 0001, Kanad Ghose Selective writeback: exploiting transient values for energy-efficiency and performance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF energy-efficiency, register files
8Hui Zeng, Kanad Ghose Register file caching for energy efficiency. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register caching, energy-efficiency, register files
8Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura Energy-efficient dynamic instruction scheduling logic through instruction grouping. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction grouping, issue queue, dynamic instruction scheduling
8Elham Safi, Andreas Moshovos, Andreas G. Veneris L-CBF: a low-power, fast counting bloom filter architecture. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF counting bloom filters, energy per operation, delay, processors
8Samuel Williams 0001, John Shalf, Leonid Oliker, Shoaib Kamil 0001, Parry Husbands, Katherine A. Yelick The potential of the cell processor for scientific computing. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GEMM, SpMV, three level memory, FFT, sparse matrix, cell processor, stencil
8Maurício L. Pilla, Bruce R. Childers, Amarildo T. da Costa, Felipe M. G. França, Philippe Olivier Alexandre Navaux A Speculative Trace Reuse Architecture with Reduced Hardware Requirements. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Nasreddine Hireche, J. M. Pierre Langlois, Gabriela Nicolescu Survey of Biological High Performance Computing: Algorithms, Implementations and Outlook Research. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev 0001, Aneesh Aggarwal Address-Value Decoupling for Early Register Deallocation. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Akira Nishida, Hisashi Kotakemori, Tamito Kajiyama, Akira Nukada Poster reception - Scalable software infrastructure project. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Christophe Lemuet, Jack Sampson, Jean-Francois Collard, Norman P. Jouppi Architecture - The potential energy efficiency of vector acceleration. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Alice E. Koniges, William Gropp, Ewing L. Lusk, David C. Eder M01 - Application supercomputing and multiscale simulation techniques. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta Memory - CellSs: a programming model for the cell BE architecture. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Chester Rebeiro, A. David Selvakumar, A. S. L. Devi Bitslice Implementation of AES. Search on Bibsonomy CANS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Kaiyu Chen, Sharad Malik Dependable Multithreaded Processing Using Runtime Validation. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Wei Liu 0014, James Tuck 0001, Luis Ceze, Wonsun Ahn, Karin Strauss, Jose Renau, Josep Torrellas POSH: a TLS compiler that exploits program structure. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TLS compiler, profiling, prefetching, thread-level speculation, multi-core architecture
8Stefan Tillich, Johann Großschädl Instruction Set Extensions for Efficient AES Implementation on 32-bit Processors. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded RISC processor, SPARC V8 architecture, Advanced Encryption Standard, instruction set extensions, efficient implementation
8Steven Swanson, Andrew Putnam, Martha Mercaldi, Ken Michelson, Andrew Petersen 0001, Andrew Schwerin, Mark Oskin, Susan J. Eggers Area-Performance Trade-offs in Tiled Dataflow Architectures. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF WaveScalar, ASIC, RTL, Dataflow computing
8Gihan R. Mudalige, Stephen A. Jarvis, Daniel P. Spooner, Graham R. Nudd Predictive Performance Analysis of a Parallel Pipelined Synchronous Wavefront Application for Commodity Processor Cluster Systems. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Alex Pajuelo, Antonio González 0001, Mateo Valero Speculative execution for hiding memory latency. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Dmitry Cheresiz, Ben H. H. Juurlink, Stamatis Vassiliadis, Harry A. G. Wijshoff The CSI multimedia architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Emil Talpes, Diana Marculescu Toward a multiple clock/voltage island design style for power-aware processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Sid Ahmed Ali Touati Register Saturation in Instruction Level Parallelism. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Register requirement, instruction level parallelism, integer linear programming, optimizing compilation, register pressure
8Aneesh Aggarwal, Manoj Franklin Instruction Replication for Reducing Delays Due to Inter-PE Communication Latency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction replication, interconnection latency, load balancing, task assignment, Clustered processors
8Joan-Manuel Parcerisa, Julio Sahuquillo, Antonio González 0001, José Duato On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF intercluster communication, instruction steering, complexity, on-chip interconnects, Clustered microarchitecture
8Andrea Di Blas, David M. Dahle, Mark Diekhans, Leslie Grate, Jeffrey D. Hirschberg, Kevin Karplus, Hansjörg Keller, Mark Kendrick, Francisco J. Mesa-Martinez, David Pease, Eric Rice, Angela Schultz, Don Speck, Richard Hughey The UCSC Kestrel Parallel Processor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI system design, image processing, Parallel processing, high performance computing, computer architecture, systolic array, SIMD, DNA, computational chemistry, biological sequence analysis
8Michael B. Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF microprocessors, distributed architectures, Interconnection architectures
8Victor V. Toporkov, Anna S. Toporkova Measuring the Execution Time of Fragmented Programs. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Jason T. Higgins, Mark D. Aagaard Simplifying the design and automating the verification of pipelines with structural hazards. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF formal design verification, design automation, Pipelined circuits
8Ruby B. Lee, A. Murat Fiskiran PLX: An Instruction Set Architecture and Testbed for Multimedia Information Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, processor architecture, instruction set architecture, media processing, ISA
8Won So, Alexander G. Dean Complementing software pipelining with software thread integration. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TI C6000, DSP, software pipelining, VLIW, stream programming, coarse-grain parallelism, software thread integration
8Wolfram Kahl, Christopher Kumar Anand, Jacques Carette Control-Flow Semantics for Assembly-Level Data-Flow Graphs. Search on Bibsonomy RelMiCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Ben Wun, Jeremy Buhler, Patrick Crowley Exploiting Coarse-Grained Parallelism to Accelerate Protein Motif Finding with a Network Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Huiyang Zhou Dual-Core Execution: Building a Highly Scalable Single-Thread Instruction Window. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8John D. Davis, James Laudon, Kunle Olukotun Maximizing CMP Throughput with Mediocre Cores. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Gokhan Memik, Masud H. Chowdhury, Arindam Mallik, Yehea I. Ismail Engineering Over-Clocking: Reliability-Performance Trade-Offs for High-Performance Register Files. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Xiaodong Li, Sarita V. Adve, Pradip Bose, Jude A. Rivers SoftArch: An Architecture Level Tool for Modeling and Analyzing Soft Errors. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Lucanus J. Simonson, Lei He 0001 Micro-architecture Performance Estimation by Formula. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Shadi T. Khasawneh, Kanad Ghose An Adaptive Technique for Reducing Leakage and Dynamic Power in Register Files and Reorder Buffers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Fernando Castro, Daniel Chaver, Luis Piñuel, Manuel Prieto 0001, Michael C. Huang 0001, Francisco Tirado A Power-Efficient and Scalable Load-Store Queue Design. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Gokhan Memik, Mahmut T. Kandemir, Ozcan Ozturk 0001 Increasing Register File Immunity to Transient Errors. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Sam S. Stone, Kevin M. Woley, Matthew I. Frank Address-Indexed Memory Disambiguation and Store-to-Load Forwarding. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Masaaki Kondo, Hiroshi Nakamura A Small, Fast and Low-Power Register File by Bit-Partitioning. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Timothy M. Jones 0001, Michael F. P. O'Boyle, Jaume Abella 0001, Antonio González 0001 Software Directed Issue Queue Power Reduction. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Won Woo Ro, Jean-Luc Gaudiot A Low-Complexity Issue Queue Design with Speculative Pre-execution. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Richard W. Vuduc, Hyun Jin Moon Fast Sparse Matrix-Vector Multiplication by Exploiting Variable Block Structure. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Erich Strohmaier, Hongzhang Shan Apex-Map: A Synthetic Scalable Benchmark Probe to Explore Data Access Performance on Highly Parallel Systems. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Joseph J. Sharkey, Dmitry V. Ponomarev Non-uniform Instruction Scheduling. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Hongkyu Kim, D. Scott Wills, Linda M. Wills Technology-based Architectural Analysis of Operand Bypass Networks for Efficient Operand Transport. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Satish Narayanasamy, Hong Wang 0003, Perry H. Wang, John Paul Shen, Brad Calder A Dependency Chain Clustered Microarchitecture. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Reza Azimi, Michael Stumm, Robert W. Wisniewski Online performance analysis by statistical sampling of microprocessor performance counters. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Jose Renau, Karin Strauss, Luis Ceze, Wei Liu 0014, Smruti R. Sarangi, James Tuck 0001, Josep Torrellas Thread-Level Speculation on a CMP can be energy efficient. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Nithin Nakka, Giacinto Paolo Saggese, Zbigniew Kalbarczyk, Ravishankar K. Iyer An Architectural Framework for Detecting Process Hangs/Crashes. Search on Bibsonomy EDCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Jongmyon Kim, D. Scott Wills, Linda M. Wills Architectural Enhancements for Color Image and Video Processing on Embedded Systems. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Weng-Fai Wong Targeted Data Prefetching. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Sadaf R. Alam, Jeffrey S. Vetter Performance and Scalability Analysis of Cray X1 Vectorization and Multistreaming Optimization. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Joseph J. Sharkey, Dmitry V. Ponomarev, Kanad Ghose, Oguz Ergin Instruction packing: reducing power and delay of the dynamic scheduling logic. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction packing, low power, issue queue
8William Lloyd Bircher, M. Valluri, J. Law, Lizy K. John Runtime identification of microprocessor energy saving opportunities. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF speculative microprocessors, modeling, energy efficiency, power
8Ahmad Zmily, Christos Kozyrakis Energy-efficient and high-performance instruction fetch using a block-aware ISA. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF basic blocks, energy efficiency, instruction set architecture, decoupled architecture, instruction delivery
8Joseph J. Sharkey, Kanad Ghose, Dmitry V. Ponomarev, Oguz Ergin Power-Efficient Wakeup Tag Broadcast. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Stefan Bieschewski, Joan-Manuel Parcerisa, Antonio González 0001 Memory Bank Predictors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Won-Ho Park, Andreas Moshovos, Babak Falsafi RECAST: Boosting Tag Line Buffer Coverage in Low-Power High-Level Caches "for Free". Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8A. Murat Fiskiran, Ruby B. Lee On-Chip Lookup Tables for Fast Symmetric-Key Encryption. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Junwei Zhou, Andrew J. Mason Increasing design space of the instruction queue with tag coding. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction queue, wakeup/select loop
8Gokhan Memik, Mahmut T. Kandemir, Arindam Mallik Load elimination for low-power embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF load elimination technique, low power design
8José R. Herrero 0001, Juan J. Navarro Efficient Implementation of Nearest Neighbor Classification. Search on Bibsonomy CORES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Tatiana Gadelha Serra dos Santos, Sergio Bampi, Philippe Olivier Alexandre Navaux Reusing Traces in a Dynamic Conditional Execution Architecture. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Guilherme Dal Pizzol, Philippe Olivier Alexandre Navaux Branch Prediction Topologies for SMT Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8A. Murat Fiskiran, Ruby B. Lee Fast Parallel Table Lookups to Accelerate Symmetric-Key Cryptography. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Julian Borrill, Jonathan Carter, Leonid Oliker, David Skinner, Rupak Biswas Integrated Performance Monitoring of a Cosmology Application on Leading HEC Platforms. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cosmic Microwave Background, MADCAP, Altix Columbia, Earth Simulator, X1 Phoenix, Power3 Seaborg, parallel performance characterization
8Ethan Schuchman, T. N. Vijaykumar Rescue: A Microarchitecture for Testability and Defect Tolerance. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Mladen Berekovic, Sören Moch, Peter Pirsch A scalable, clustered SMT processor for digital signal processing. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Faraydon Karim, Alain Mellan, Anh Nguyen, Utku Aydonat, Tarek S. Abdelrahman A Multilevel Computing Architecture for Embedded Multimedia Applications. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Yu Bai 0001, R. Iris Bahar A low-power in-order/out-of-order issue queue. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instruction issue logic, low power, High-performance
8Yongkang Zhu, Grigorios Magklis, Michael L. Scott, Chen Ding 0001, David H. Albonesi The Energy Impact of Aggressive Loop Fusion. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Alex Settle, Joshua L. Kihm, Andrew Janiszewski, Daniel A. Connors Architectural Support for Enhanced SMT Job Scheduling. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Jens Peter Wittenburg, Ulrich Schreiber, Ulrich Gries, Markus Schneider 0003, Tim Niggemeier A Cost-Efficient RISC Processor Platform for Real Time Audio Applications. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Nirav Dave Designing a reorder buffer in Bluespec. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Muhamed F. Mudawar Scalable cache memory design for large-scale SMT architectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable multiported cache memory, simultaneous multithreaded architectures
8Giovanni Agosta, Gianluca Palermo, Cristina Silvano Multi-objective co-exploration of source code transformations and design space architectures for low-power embedded systems. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware/software co-exploration, embedded systems, low-power design, source code transformations
8Vinod Viswanath Multi-log Processor - Towards Scalable Event-Driven Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Soyeb Alli, Chris Bailey 0002 Compiler-Directed Dynamic Memory Disambiguation for Loop Structures. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Implicit vs. Explicit Resource Allocation in SMT Processors. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Oguz Ergin, Deniz Balkan, Kanad Ghose, Dmitry V. Ponomarev Register Packing: Exploiting Narrow-Width Operands for Reducing Register File Pressure. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Michael Dupré, Nathalie Drach, Olivier Temam VHC: Quickly Building an Optimizer for Complex Embedded Architectures. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Jonathan Carter, Julian Borrill, Leonid Oliker Performance Characteristics of a Cosmology Package on Leading HPC Architectures. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Miroslav N. Velev Using positive equality to prove liveness for pipelined microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 Power-performance trade-off using pipeline delays. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Venkata Syam P. Rapaka, Emil Talpes, Diana Marculescu Mixed-clock issue queue design for energy aware, high-performance cores. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Joseph J. Sharkey, Dmitry Ponomarev 0001, Kanad Ghose, Oguz Ergin Reducing Delay and Power Consumption of the Wakeup Logic Through Instruction Packing and Tag Memoization. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8André L. Sandri, Ronaldo Augusto Lara Gonçalves, João Angelo Martini SMS - Tool for Development and Performance Analysis of Parallel Applications. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Peng-fei Chuang, Resit Sendag, David J. Lilja Improving Data Cache Performance via Address Correlation: An Upper Bound Study. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Adrián Cristal, Oliverio J. Santana, Mateo Valero Maintaining Thousands of In-flight Instructions. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Jamison D. Collins, Dean M. Tullsen Clustered Multithreaded Architectures - Pursuing both IPC and Cycle Time. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Adronis Niyonkuru, Hans Christoph Zeidler Designing a Runtime Reconfigurable Processor for General Purpose Applications. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Dongsoo Kang, Jean-Luc Gaudiot Speculation Control for Simultaneous Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license