The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for synchronous with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1967 (15) 1968-1972 (23) 1973-1975 (20) 1976-1978 (21) 1979-1981 (20) 1982-1983 (29) 1984 (19) 1985 (21) 1986 (32) 1987 (53) 1988 (41) 1989 (60) 1990 (72) 1991 (71) 1992 (90) 1993 (121) 1994 (153) 1995 (166) 1996 (180) 1997 (219) 1998 (183) 1999 (295) 2000 (285) 2001 (324) 2002 (386) 2003 (425) 2004 (486) 2005 (513) 2006 (584) 2007 (633) 2008 (604) 2009 (466) 2010 (312) 2011 (329) 2012 (346) 2013 (391) 2014 (341) 2015 (374) 2016 (359) 2017 (398) 2018 (397) 2019 (525) 2020 (519) 2021 (578) 2022 (517) 2023 (568) 2024 (120)
Publication types (Num. hits)
article(5004) book(8) data(7) incollection(40) inproceedings(7480) phdthesis(138) proceedings(7)
Venues (Conferences, Journals, ...)
IEEE Trans. Ind. Electron.(642) IEEE Access(409) IECON(382) CoRR(340) IEEE Trans. Comput. Aided Des....(120) IEEE Trans. Computers(107) IAS(106) ISIE(105) IEEE Trans. Commun.(104) ASYNC(83) ISCAS(82) DATE(77) Sensors(77) DAC(76) HICSS(71) DISC(68) More (+10 of total 2528)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5733 occurrences of 2676 keywords

Results
Found 12684 publication records. Showing 12684 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Aristotel Tentov, Aksenti L. Grnarov Performance Analysis of ATM Switches with Multistage Packet Switching Interconnection Networks. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multistage packet switching interconnection networks, mathematical method, finite buffering capacity, switching elements output, uniform traffic, buffered interconnection networks, synchronous buffered switching element, finite buffer size, performance analysis, asynchronous transfer mode, blocking, ATM switches, output buffering, traffic patterns, nonuniform traffic
15Andrew Sohn Parallel N-ary Speculative Computation of Simulated Annealing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF synchronous simulated annealing, simulated annealing, combinatorial optimization, Traveling Salesman Problem, Parallel simulated annealing, speculative computation
15Colin Potts, Kenji Takahashi, Jeffrey D. Smith, Kenji Ota An evaluation of inquiry-based requirements analysis for an Internet service. Search on Bibsonomy RE The full citation details ... 1995 DBLP  DOI  BibTeX  RDF inquiry-based requirements analysis, inquiry cycle, generic process model, requirements elaboration, requirements expression, Tuiqiao, requirements analysis phase, commercial consumer information service, qualitative classification schemes, synchronous meetings, work patterns, Internet, systems analysis, wide area networks, commitment, information services, Internet service, quantitative measures, discussion
15Craig Farnsworth, David A. Edwards, Jianwei Liu, Shiv S. Sikand A hybrid asynchronous system design environment. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hybrid asynchronous system design environment, hybrid design scheme, asynchronous circuit synthesis, Tangram silicon complier, synchronous design techniques, concurrency, high level synthesis, asynchronous circuits, power reduction, performance gains, micropipelines
15Vijay A. Saraswat, Radha Jagadeesan, Vineet Gupta 0001 Default Timed Concurrent Constraint Programming. Search on Bibsonomy POPL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF constraint programming, reactive systems, denotational semantics, synchronous programming, semantics of concurrency
15Debesh K. Das, Bhargab B. Bhattacharya Testable design of non-scan sequential circuits using extra logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, sequentially redundant faults, multiple stuck-at-fault model, augmented logic, performance evaluation, logic testing, redundancy, test generation, design for testability, logic design, sequential circuits, logic synthesis, synchronous sequential circuits, benchmark circuits, testable design
15Hiroshi Date, Michinobu Nakao, Kazumi Hatayama A parallel sequential test generation system DESCARTES based on real-valued logic simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel sequential test generation system, DESCARTES, real-valued logic simulation, redundant fault identification program, algorithmic test generation program, ISCAS '89 benchmark sequential circuits, distributed processing environment oriented system, concurrent accelerative test generation, parallel algorithms, computational complexity, VLSI, fault diagnosis, logic testing, redundancy, design for testability, sequential circuits, logic CAD, VLSI design, stuck-at faults, automatic test generation, synchronous sequential circuits, automatic test software, test quality
15Bülent Abali, Craig B. Stunkel Time synchronization on SP1 and SP2 parallel systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SP2 parallel system, SP1 parallel system, experimental time utility, operating system clocks, node clocks, synchronous feature, parallel program performance measurement, parallel program tuning, parallel program tracing, parallel program debugging, parallel processes, interconnection network, multiprocessor interconnection networks, multiprocessor interconnection networks, parallel machines, parallel machines, synchronisation, synchronisation, processor scheduling, processor scheduling, software performance evaluation, software performance evaluation, program debugging, program debugging, clocks, clocks, operating systems (computers), operating systems (computers), time synchronization, gang scheduling, reduced instruction set computing, reduced instruction set computing
15Jürgen Teich, Lothar Thiele, Edward A. Lee Modeling and simulation of heterogeneous real-time systems based on a deterministic discrete event model. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Ptolemy design system, deterministic discrete event model, heterogeneous real-time systems, mixed asynchronous/synchronous systems, schedule constraints, synchronously clocked systems, timed marked graphs, simulation, modeling, real-time systems, discrete event simulation, timing analysis, finite buffering, self-timed systems
15Pranav Ashar, Sharad Malik Fast functional simulation using branching programs. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compiled code simulation, cycle-based functional simulation, fast functional simulation, functional delay-independent logic simulation, levelized compiled-code, switch level functional simulation, synchronous digital systems, Boolean functions, system design, logic design, logic CAD, decision theory, circuit analysis computing, benchmark circuits, branching programs
15Jay J. Lee, Kwi-Yung Jung An algorithm for determining the feasibility of SONET/ATM rings in broadband networks. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SONET/ATM rings, synchronous optical network, self-healing ring architecture, economical broadband networks, survivable broadband networks, nonhierarchical path multiplexing, diverse protection architecture, ATM/add-drop multiplexer, VP-based ATM rings, SONET ADM, ATM STS-3c line cards, STS-3 termination cards, reference network databases, demand routing, algorithm, asynchronous transfer mode, simulation results, traffic, network connectivity, broadband networks, network size, virtual path, SONET, cost savings
15Mohamed Naimi Parallel assignment to distinct identities in arbitrary networks. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential distributed algorithm, parallel assignment, distinct identities, parallel distributed algorithm, distributed system nodes, initiator channel, children channel, outgoing channels, upper bound time transmission, parallel algorithms, multiprocessor interconnection networks, time complexity, communication complexity, spanning tree, depth-first search, network diameter, rooted tree, arbitrary network, synchronous distributed systems
15Takayuki Dan Kimura Object-Oriented Dataflow. Search on Bibsonomy VL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF object-oriented dataflow, object-oriented visual programming language, calling mechanisms, synchronous call, asynchronous call, asynchronous subroutine call, class-based abstraction, functional abstraction, object-oriented programming, object-oriented programming, visual programming, visual languages, computation model, data flow analysis, object-oriented languages, object-oriented model, subroutines, subroutine, functional model, abstraction mechanism
15Kelly Burke, Laku Chidambaram, John Locke Evolution of relational factors over time: a study of distributed and nondistributed meetings. Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF relational factors, nondistributed meetings, collaborative organizational model, distributed workteams, adaptive structuration theory, process satisfaction, distributed-synchronous groups, distributed-asynchronous groups, groupware, teleconferencing, office automation, distributed meetings, electronic meeting systems, conflict management
15Zhiyong Li 0002, Peter Mills, John H. Reif Models and resource metrics for parallel and distributed computation. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF resource metrics, architectural details, generic parameters, network communication costs, LogP-HMM model, parameterized network model, sequential hierarchical memory model, multilevel memory, local cache, near-optimal sorting, parallel processing, parallel computation, distributed algorithms, distributed computation, resource allocation, sorting, fast Fourier transforms, parallel machines, memory hierarchy, cache storage, design principles, asynchrony, parallel models, input/output, synchronous models, fast Fourier transform algorithms
15Pierre Fraigniaud, Joseph G. Peters Structured communication in torus networks. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combinational switching, structured communication, one-to-all data movement patterns, all-to-all data movement patterns, 2D tori, multi-dimensional tori, synchronous circuit-switched routing, multi-scattering, circuit-switching algorithms, short routing, broadcasting, broadcasting, multiprocessor interconnection networks, gossiping, distributed memory systems, network routing, virtual channels, cycles, circuit switching, switching theory, scattering, torus networks, message length, store-and-forward routing
15Yatin Vasant Hoskote, Jacob A. Abraham, Donald S. Fussell Automated verification of temporal properties specified as state machines in VHDL. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automated verification methodology, correctness specifications, Viper microprocessor, Mealy FSM, compatible states, formal specification, formal verification, high level synthesis, finite state machines, VHDL, sequential circuits, state machines, hardware description languages, microprocessor chips, synchronous sequential circuit, temporal properties, liveness properties
15Garth Baulch, David Hemmendinger, Cherrice Traver Analyzing and verifying locally clocked circuits with the concurrency workbench. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF locally clocked circuits, concurrency workbench, synchronous computational elements, concurrent system modelling, CCS process algebra, formal verification, timing, logic design, process algebra, logic CAD, asynchronous circuits, asynchronous circuits, circuit analysis computing, asynchronous communication
15Jae-Tack Yoo, Erik Brunvand, Kent F. Smith Automatic rapid prototyping of semi-custom VLSI circuits using Actel FPGAs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic rapid prototyping, semicustom VLSI circuits, Actel FPGAs, cell-matrix based environment, synchronous pipelined version, asynchronous pipelined version, field programmable gate arrays, field programmable gate arrays, VLSI, logic CAD, integrated circuit design, CMOS logic circuits, circuit CAD, array multiplier, CMOS IC
15David E. Long, Mahesh A. Iyer, Miron Abramovici Identifying sequentially untestable faults using illegal states. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequentially untestable faults, illegal states, FILL algorithm, FUNI algorithm, functional partitioning procedure, incremental building, fault diagnosis, logic testing, test generator, integrated circuit testing, sequential circuits, automatic testing, binary decision diagrams, synchronous sequential circuit, logic partitioning, partial solution
15Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez Diagnostic of path and gate delay faults in non-scan sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, self-masking identification, fault diagnosis, fault diagnosis, logic testing, delays, integrated circuit testing, sequential circuits, automatic testing, integrated logic circuits, path delay faults, synchronous sequential circuits, path tracing, gate delay faults
15Samir Lejmi, Bozena Kaminska, Bechir Ayari Retiming, resynthesis, and partitioning for the pseudo-exhaustive testing of sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF segmentation cells, segmentation edges, logic testing, partitioning, timing, sequential circuits, sequential circuits, iterative methods, circuit analysis computing, retiming, iterative algorithm, circuit optimisation, logic partitioning, logic optimization, resynthesis, synchronous circuits, pseudo-exhaustive testing
15Vincenzo Catania, Marco Russo Analog gates for a VLSI fuzzy processor. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI fuzzy processor, synchronous fuzzy circuits, high noise immunity, fuzzy gates, VLSI, fuzzy logic, CMOS logic circuits, CMOS technology, logic gates, analogue processing circuits
15Michel Cosnard, Pierre Fraigniaud Analysis of Asynchronous Polynomial Root Finding Methods on a Distributed Memory Multicomputer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF poles and zeros, distributed memorysystems, asynchronous polynomial root finding, iterative polynomial root finding, locally convergent, asynchronous methods, synchronous, convergence, polynomials, convergence of numerical methods, distributed memory multicomputer, hypercube multicomputer, polynomial zeros
15Markus Sohlenkamp, Greg Chwelos Integrating Communication, Cooperation, and Awareness: The DIVA Virtual Office Environment. Search on Bibsonomy CSCW The full citation details ... 1994 DBLP  DOI  BibTeX  RDF synchronous/asynchronous, CSCW, integration, groupware, awareness, virtual office
15Carsta Petersohn, Willem P. de Roever, Cornelis Huizing, Jan Peleska 0001 Formal Semantics for Ward & Mellor's Transformation Schemas and the Specification of Faul Tolerant Systems. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Real-time embedded systems and their design, Structured Analysis and Design Methods, Transformation Schema, micro and macro steps, Berry's synchrony hypothesis, safety critical systems design, simulation of and semantics for fault-tolerant systems, modularity, formal semantics, synchronous languages
15Anders P. Ravn, Hans Rischel, Kirsten Mark Hansen Specifying and Verifying Requirements of Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF specification of requirements, verification of design, real-time interval logic, top-level design, synchronous events, real-time systems, real-time systems, formal specification, distributed computation, formal verification, temporal logic, sensor, mathematical model, actuator, duration calculus, control law
15Ronald M. Baecker, Dimitrios Nastos, Ilona Posner, Kelly L. Mawby The user-centered iterative design of collaborative writing software. Search on Bibsonomy INTERCHI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF behavioral research, synchronous and asynchronous writing, writing software, computer-supported cooperative work, groupware, user-centered design, collaborative writing, iterative design
15Edward A. Lee Consistency in Dataflow Graphs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF synchronous dataflow graphs, dataflow graphsemantics, token-flow model, graphtheory, programming languages, deadlock, programming theory, consistency checks, dataflow graphs, dataflow graph
15Adriano Valenzano, Paolo Montuschi, Luigi Ciminiera Some Properties of Timed Token Medium Access Protocols. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF timed token medium access protocols, non-real-time traffic, worst-case values, average token rotation time, synchronous traffic, generic periodic pattern, heavy conditions, theoretical lower bounds, real networks, asynchronous overrun problem, multiple priority classes, worst-case assumptions, best-case assumptions, timed-token protocol parameters, network installation, protocols, throughput, local area network, real-time traffic, token networks, electronic messaging
15K. B. Lakshmanan, Krishnaiyan Thulasiraman, M. A. Comeau An Efficient Distributed Protocol for Finding Shortest Paths in Networks with Negative Weights. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF efficient distributed protocol, negative weights, single-source shortest-path problem, asynchronous directed network, unbounded message complexity, synchronous version, Chandy-Misra algorithm, efficient synchronizer, computational complexity, protocols, distributed algorithms, distributed processing, directed graphs, time complexities, edges, cycle, nodes, worst case, asynchronous protocol
15Raphael A. Finkel, Michael L. Scott, Yeshayahu Artsy, Hung-Yang Chang Experience with Charlotte: Simplicity and Function in a Distributed Operating System. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Charlotte distributed operating system, computation-intensive problems, large-grain parallelism, Crystal multicomputer, VAX-11/750 computers, kernel/process interface, bidirectional communication paths, synchronous nonblocking communications, multitask kernel, absolute distributed information, high-level tools, Lynx distributed programming language, kernal primitives, concurrency, abstraction, finite-state machines, local area network, local area networks, operating systems (computers), software packages, multiprocessing programs, computer communications software
15Patrick M. Lenders Distributed Computing with Single Read-Single Write Variables. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF single read-single write variables, free, wait state, SRSW variables, regular sequential language, SRSW data type, parallel programs, distributed computing, distributed processing, message passing, operational semantics, asynchronous communication, syntax, busy, synchronous communication
15Robert Cypher, Jorge L. C. Sanz, L. Snyder An EREW PRAM Algorithm for Image Component Labeling. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF EREW PRAM algorithm, image component labeling, synchronous fine-grained shared-memory model, exclusive-read exclusive-write parallel RAM, computer vision, computer vision, parallel algorithm, parallel algorithms, computational complexity, parallel processing, binary images, random-access storage, mesh-connected computers
15Vicki H. Allan, Robert A. Mueller Compaction with General Timing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF general synchronous timing, microcode generation systems, branch delays, volatile registers, microoperations, multiple microinstructions, clocked microarchitectures, compilers, synchronisation, program compilers, microprogramming, target architecture, data-dependency graphs
14Kunihiro Asada, Taku Sogabe, Toru Nakura, Makoto Ikeda Measurement of power supply noise tolerance of self-timed processor. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Shibo He, Jiming Chen 0001, David K. Y. Yau, Huanyu Shao, Youxian Sun Energy-efficient capture of stochastic events by global- and local-periodic network coverage. Search on Bibsonomy MobiHoc The full citation details ... 2009 DBLP  DOI  BibTeX  RDF coordinated sleep protocol, event capture, sensor network, energy efficiency, periodic scheduling, network coverage
14Sarah E. Webster, Ryan M. Eustice, Hanumant Singh, Louis L. Whitcomb Preliminary deep water results in single-beacon one-way-travel-time acoustic navigation for underwater vehicles. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Marcos Kawazoe Aguilera, Carole Delporte-Gallet, Hugues Fauconnier, Sam Toueg Partial synchrony based on set timeliness. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bivalency, algorithms, consensus, failure detectors, timeliness, partial synchrony, set agreement, impossibility
14Selva K. Maran, Carmen C. Canavier Using phase resetting to predict 1: 1 and 2: 2 locking in two neuron networks in which firing order is not always preserved. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network oscillation, Synchrony, Phase response curve
14Bradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton Practical Asynchronous Interconnect Network Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla A Trace-Based Framework for Verifiable GALS Composition of IPs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Giulia Garau, Steve Renals Combining Spectral Representations for Large-Vocabulary Continuous Speech Recognition. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Yael Tauman Kalai, Xin Li 0006, Anup Rao 0001, David Zuckerman Network Extractor Protocols. Search on Bibsonomy FOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Damien Regnault Directed Percolation Arising in Stochastic Cellular Automata Analysis. Search on Bibsonomy MFCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Feng Shi Removing hazards in multi-level logic optimization for generalized fundamental-mode asynchronous circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Partha Dutta, Rachid Guerraoui, Idit Keidar The overhead of consensus failure recovery. Search on Bibsonomy Distributed Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Sobeeh Almukhaizim, Yiorgos Makris Concurrent Error Detection Methods for Asynchronous Burst-Mode Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF asynchronous burst-mode machines, error-detecting codes, Concurrent error detection, Berger code
14Shuangqing Wei Diversity-Multiplexing Tradeoff of Asynchronous Cooperative Diversity in Wireless Networks. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Sinan Gezici, Andreas F. Molisch, H. Vincent Poor, Hisashi Kobayashi The Tradeoff Between Processing Gains of an Impulse Radio UWB System in the Presence of Timing Jitter. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Tiberiu Chelcea, Girish Venkataramani, Seth Copen Goldstein Area Optimizations for Dual-Rail Circuits Using Relative-Timing Analysis. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Seth Gilbert, Rachid Guerraoui, Dariusz R. Kowalski On the Message Complexity of Indulgent Consensus. Search on Bibsonomy DISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Julian J. H. Pontes, Rafael Soares, Ewerson Carvalho, Fernando Moraes 0001, Ney Calazans SCAFFI: An intrachip FPGA asynchronous interface based on hard macros. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Iordanis Koutsopoulos, Ulas C. Kozat, Leandros Tassiulas Dynamic Resource Allocation in CDMA Systems with Deterministic Codes and Multirate Provisioning. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resource allocation, Wireless communication, power control, code division multiple access, cross-layer design
14Jennifer Tenzer, Perdita Stevens On modelling recursive calls and callbacks with two variants of Unified Modelling Language state diagrams. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Protocol state machines, UML, Recursion, Object-oriented modelling, State machines, Callbacks
14Edith Beigné, Pascal Vivet Design of On-chip and Off-chip Interfaces for a GALS NoC Architecture. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jehn-Ruey Jiang, Chung-Ta King, Chi-Hsiang Liao MUREX: A Mutable Replica Control Scheme for Structured Peer-to-Peer Storage Systems. Search on Bibsonomy GPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Xin Jia, Ranga Vemuri Studying a GALS FPGA architecture using a parameterized automatic design flow. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jin Li 0001, Cheng Huang 0002 DISCOVR: Distributed Collaborative Video Recorder. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Ionel Muscalagiu, Hong Jiang, Horia Emil Popa Implementation and Evaluation Model for the Asynchronous Search Techniques: From a Synchronously Distributed System to an Asynchronous Distributed System. Search on Bibsonomy SYNASC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Reuven Cohen, David Peleg Local Algorithms for Autonomous Robot Systems. Search on Bibsonomy SIROCCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Atanu Chattopadhyay, Zeljko Zilic GALDS: a complete framework for designing multiclock ASICs and SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Yu Sun 0003, Ishfaq Ahmad Asynchronous rate control for multi-object videos. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Sinan Gezici, Hisashi Kobayashi, H. Vincent Poor, Andreas F. Molisch Performance evaluation of impulse radio UWB systems with pulse-based polarity randomization. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Paulo Sousa 0001, Nuno Ferreira Neves, Paulo Veríssimo How Resilient are Distributed f Fault/Intrusion-Tolerant Systems? Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Elaine Cheong, Jie Liu 0001 galsC: A Language for Event-Driven Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14A. K. Bhattacharjee, R. K. Shyamasundar Validated Code Generation for Activity Diagrams. Search on Bibsonomy ICDCIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Eitan Altman, Konstantin Avrachenkov, B. J. Prabhu Fairness in MIMD congestion control algorithms. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Bradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Eli Gafni, Rachid Guerraoui, Bastian Pochon From a static impossibility to an adaptive lower bound: the complexity of early deciding set agreement. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF early decision, simulation, reduction, set agreement
14Daniel Albeseder Evaluation of Message Delay Correlation in Distributed Systems. Search on Bibsonomy WISES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Philippe Golle, Ari Juels Parallel mixing. Search on Bibsonomy CCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mixnet, privacy, anonymity, permutation, parallel execution
14Sune Fallgaard Nielsen, Jens Sparsø, Jan Madsen Towards Behavioral Synthesis of Asynchronous Circuits - An Implementation Template Targeting Syntax Directed Compilation. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Venkata Syam P. Rapaka, Emil Talpes, Diana Marculescu Mixed-clock issue queue design for energy aware, high-performance cores. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Norman Scaife, Christos Sofronis, Paul Caspi, Stavros Tripakis, Florence Maraninchi Defining and translating a "safe" subset of simulink/stateflow into lustre. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded software, simulink, lustre, automatic translation
14Youngjin Cho, Naehyuck Chang Memory-aware energy-optimal frequency assignment for dynamic supply voltage scaling. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, memory system, SDRAM
14Michael Ben-Or, Ran El-Yaniv Resilient-optimal interactive consistency in constant time. Search on Bibsonomy Distributed Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Fault tolerance, Distributed systems, Byzantine agreement, Broadcast channels, Interactive consistency
14Milos Krstic, Eckhard Grass New GALS Technique for Datapath Architectures. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Oswaldo Cadenas, Graham M. Megson Pullpipelining: A technique for systolic pipelined circuits. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Ulrich Schmid 0001, Christof Fetzer Randomized Asynchronous Consensus with Imperfect Communications. Search on Bibsonomy SRDS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Danai Patiyoot Migration / Evolution of security towards wireless ATM. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Tzi-cker Chiueh, Lan Huang Track-Based Disk Logging. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Mark Handel, James D. Herbsleb What is chat doing in the workplace? Search on Bibsonomy CSCW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF groupware, awareness, presence, instant messaging, teams, chat, MUD
14J. Shi, R. Zmood, L. J. Qin The direct method for adaptive feed-forward vibration control of magnetic bearing systems. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Albert Benveniste, Paul Caspi, Paul Le Guernic, Hervé Marchand, Jean-Pierre Talpin, Stavros Tripakis A Protocol for Loosely Time-Triggered Architectures. Search on Bibsonomy EMSOFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Partha Dutta, Rachid Guerraoui The inherent price of indulgence. Search on Bibsonomy PODC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Frank te Beest, Ad M. G. Peeters, Marc Verra, Kees van Berkel 0001, Hans G. Kerkhoff Automatic Scan Insertion and Test Generation for Asynchronous Circuits. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Vinodh Cuppu, Bruce L. Jacob, Brian Davis, Trevor N. Mudge High-Performance DRAMs in Workstation Environments. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF DRAM architectures, DRAM performance, DRAM systems, DDR DRAM, Direct Rambus DRAM, PC100 SDRAM, DDR2 DRAM, system modeling
14Mesfin Belachew, R. K. Shyamasundar MSC+: From Requirement to Prototyped Systems. Search on Bibsonomy ECRTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hMSCs, Requirement Specification, Preemption, MSCs
14Werner Geyer, Heather A. Richter, Ludwin Fuchs, Tom Frauenhofer, Shahrokh Daijavad, Steven E. Poltrock A team collaboration space supporting capture and access of virtual meetings. Search on Bibsonomy GROUP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF collaborative activities, team collaboration, virtual meetings, teamwork, capture and access, collaborative workspaces
14Tiberiu Seceleanu, Juha Plosila Formal Pipeline Design. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Siddharth R. Phanse, R. K. Shyamasundar Application of Esterel for Modelling and Verification of Cachet Protocol on CRF Memory Model. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Radu Grosu And/Or Hierarchies and Round Abstraction. Search on Bibsonomy MFCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Basant Rajan, R. K. Shyamasundar Multiclock Esterel: A Reactive Framework for Asynchronous Design. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VHDL, Reactive Systems, Asynchronous System, Synchrony, Esterel
14Martin Leucker On Model Checking Synchronised Hardware Circuits. Search on Bibsonomy ASIAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Matthew Hennessy Axiomatising Asynchronous Process Calculi (abstract). Search on Bibsonomy FCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Luca P. Carloni, Kenneth L. McMillan, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli A methodology for correct-by-construction latency insensitive design. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Vinodh Cuppu, Bruce L. Jacob, Brian Davis, Trevor N. Mudge A Performance Comparison of Contemporary DRAM Architectures. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14A. O. Mahajan, A. J. Dadej, K. V. Lever A comparison of channel scanning schemes for distributed network formation and reconfiguration. Search on Bibsonomy Wirel. Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Loc Bao Nguen, Marek A. Perkowski, Lech Józwiak Design of Self-Synchronized Component FSMs for Self-Timed Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 12684 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license