The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for MISS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1969-1983 (16) 1985-1988 (15) 1989 (15) 1990-1992 (22) 1993 (16) 1994 (17) 1995 (36) 1996 (31) 1997 (52) 1998 (34) 1999 (55) 2000 (85) 2001 (59) 2002 (86) 2003 (81) 2004 (128) 2005 (136) 2006 (170) 2007 (164) 2008 (162) 2009 (115) 2010 (43) 2011 (24) 2012 (31) 2013 (29) 2014 (33) 2015 (33) 2016 (22) 2017 (24) 2018 (27) 2019 (34) 2020 (42) 2021 (59) 2022 (37) 2023 (29) 2024 (12)
Publication types (Num. hits)
article(577) book(1) incollection(8) inproceedings(1377) phdthesis(8) proceedings(3)
Venues (Conferences, Journals, ...)
ISCA(55) IEEE Trans. Computers(53) CoRR(49) MICRO(35) IPDPS(34) HPCA(31) SIGMETRICS(28) ASPLOS(26) ICCD(23) ICS(23) ACM Trans. Comput. Syst.(21) IEEE PACT(20) DATE(19) MISS@AOSD(19) DAC(18) ICPP(18) More (+10 of total 789)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1962 occurrences of 1105 keywords

Results
Found 1975 publication records. Showing 1974 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Keun Soo Yim, Jang-Soo Lee, Jihong Kim 0001, Shin-Dug Kim, Kern Koh A Space-Efficient On-Chip Compressed Cache Organization for High Performance Computing. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor-memory performance gap, on-chip compressed cache, fine-grained management, internal fragmentation problem, Parallel processing
14Tejas Karkhanis, James E. Smith 0001 A First-Order Superscalar Processor Model. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Alaa R. Alameldeen, David A. Wood 0001 Adaptive Cache Compression for High-Performance Processors. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Ligang He, Stephen A. Jarvis, David A. Bacigalupo, Daniel P. Spooner, Xinuo Chen, Graham R. Nudd Queueing Network-based Optimisation Techniques for Workload Allocation in Clusters of Computers. Search on Bibsonomy IEEE SCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Naohiko Shimizu, Ken Takatori A transparent Linux super page kernel for Alpha, Sparc64 and IA32: reducing TLB misses of applications. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Linux
14Woo-Chan Park, Kil-Whan Lee, Il-San Kim, Tack-Don Han, Sung-Bong Yang An Effective Pixel Rasterization Pipeline Architecture for 3D Rendering Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pixel cache, graphics hardware, 3D graphics, rendering hardware
14Jiwei Lu, Howard Chen 0002, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, Dong-yuan Chen The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Linux, Intel
14Suzhen Lin, G. Manimaran Double-Loop Feedback-Based Scheduling Approach for Distributed Real-Time Systems. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Seok Jae Lee, Jae Ryong Shin, Seok Il Song, Jae Soo Yoo, Ki Hyung Cho A Concurrency Control Algorithm for Firm Real-Time Database Systems. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao, Ziang Hu Compiler-Assisted Cache Replacement: Problem Formulation and Performance Evaluation. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Paolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum A Data Cache with Dynamic Mapping. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Yukikazu Nakamoto Operating System Supports to Enhance Fault Tolerance of Real-Time Systems. Search on Bibsonomy WORDS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Virtual Memory Management, Translation Look a side Buffer (TLB), Real-time Operating System, RISC Processor
14Jonas Jalminger, Per Stenström A Novel Approach to Cache Block Reuse Predictions. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Youtao Zhang, Rajiv Gupta 0001 Enabling Partial Cache Line Prefetching Through Data Compression. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Haibo Hu 0001, Manli Zhu, Dik Lun Lee Towards Real-time Parallel Processing of Spatial Queries. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Kugan Vivekanandarajah, Thambipillai Srikanthan, Saurav Bhattacharyya, Prasanna Venkatesh Kannan Incorporating Pattern Prediction Technique for Energy Efficient Filter Cache Design. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Manuel E. Acacio, José González 0002, José M. García 0001, José Duato Reducing the Latency of L2 Misses in Shared-Memory Multiprocessors through On-Chip Directory Integration. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF L2 misses, on-chip directory integration, multilevel directory, cc-NUMA
14Amir Roth, Gurindar S. Sohi A quantitative framework for automated pre-execution thread selection. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Hideyuki Izumi, Kazushi Sasaki, Katsuto Nakajima, Hiroyuki Sato An Efficient Technique for Corner-Turn in SAR Image Reconstruction by Improving Cache Access. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Applications, Software
14Madanlal Musuvathi, Andy Chou, David L. Dill, Dawson R. Engler Model checking system software with CMC. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Glenn Reinman, Brad Calder, Todd M. Austin High Performance and Energy Efficient Serial Prefetch Architecture. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14S. H. Tadas, Chaitali Chakrabarti Architectural approaches to reduce leakage energy in caches. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Woo-Chan Park, Kil-Whan Lee, Il-San Kim, Tack-Don Han, Sung-Bong Yang A Mid-Texturing Pixel Rasterization Pipeline Architecture for 3D Rendering Processors. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Diana P. James "Bonkers". Increasing Literacy Skills: An Eclectic Electronic Teaching Approach Gone "Bonkers". Search on Bibsonomy ICCHP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Chad Huneycutt, Joshua B. Fryman, Kenneth M. Mackenzie Software Caching using Dynamic Binary Rewriting for Embedded Devices. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Jarrod A. Lewis, Mikko H. Lipasti, Bryan Black Avoiding Initialization Misses to the Heap. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF invalid memory traffic, initializing stores, cache installation, allocation range cache
14Chi-Keung Luk, Todd C. Mowry Architectural and compiler support for effective instruction prefetching: a cooperative approach. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler optimization, instruction prefetching
14Wei-Fen Lin, Steven K. Reinhardt, Doug Burger Designing a Modern Memory Hierarchy with Hardware Prefetching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Rambus DRAM, caches, Prefetching, memory bandwidth, spatial locality, memory system design
14Jun Kiniwa, Toshio Hamada, Daisuke Mizoguchi Lookahead Scheduling Requests for Multisize Page Caching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Multisize page caching, semi-online model, simulation, queue, page replacement algorithm
14Windsor W. Hsu, Alan Jay Smith, Honesty C. Young I/O reference behavior of production database workloads and the TPC benchmarks - an analysis at the logical level. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF TPC benchmarks, production database workloads, reference behavior, caching, locality, prefetching, workload characterization, I/O, sequentiality
14Timothy Sherwood, Erez Perelman, Brad Calder Basic Block Distribution Analysis to Find Periodic Behavior and Simulation Points in Applications. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Viji Srinivasan, Edward S. Davidson, Gary S. Tyson, Mark J. Charney, Thomas R. Puzak Branch History Guided Instruction Prefetching. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Vasily G. Moshnyaga Reducing cache engery through dual voltage supply. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Vincent Loechner, Benoît Meister, Philippe Clauss Data Sequence Locality: A Generalization of Temporal Locality. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cache and TLB performance, parameterized polyhedra, Ehrhart polynomials, Memory hierarchy, temporal locality, loop nests
14Sathiamoorthy Manoharan, Chaitanya Reddy Yavasani Experiments with Sequential Prefetching. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Nathan T. Slingerland, Alan Jay Smith Cache performance for multimedia applications. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CPU caches, mulitmedia, simulation, cache, trace driven simulation
14Shu-Ngai Yeung, John Lehoczk End-to-End Delay Analysis for Real-Time Network. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Vasily G. Moshnyaga, Hiroshi Tsuji Cache energy reduction by dual voltage supply. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14David M. Koppelman Neighborhood Prefetching on Multiprocessors Using Instruction History. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Dan Nicolaescu, Xiaomei Ji, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta 0001 Compiler-Directed Cache Line Size Adaptivity. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Jin-Soo Kim, Yarsun Hsu Memory system behavior of Java programs: methodology and analysis. Search on Bibsonomy SIGMETRICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Java
14Tao Li 0006, Lizy Kurian John, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Jyotsna Sabarinathan, Anupama Murthy Using complete system simulation to characterize SPECjvm98 benchmarks. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Java
14Seok-Kyu Kweon, Kang G. Shin, Gary Workman Achieving Real-Time Communication over Ethernet with Adaptive Traffic Smoothing. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Hung-Chang Hsiao, Chung-Ta King Boosting the Performance of NOW-based Shared Memory Multiprocessors through Directory Hints. Search on Bibsonomy ICDCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF NOW-based shared memory multiprocessor, directory hints, remote read latency, performance evaluation, interconnection network
14Erik G. Hallnor, Steven K. Reinhardt A fully associative software-managed cache design. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Quinn Jacobson, James E. Smith 0001 Trace preconstruction. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Ashwini K. Nanda, Kwok-Ken Mak, Krishnan Sugavanam, Ramendra K. Sahoo, Vijayaraghavan Soundararajan, T. Basil Smith MemorIES: A Programmable, Real-Time Hardware Emulation Tool for Multiprocessor Server Design. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Christopher A. Healy, Robert D. Arnold, Frank Mueller 0001, David B. Whalley, Marion G. Harmon Bounding Pipeline and Instruction Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF best case execution time, Real-time systems, pipelining, timing analysis, worst case execution time, instruction cache
14Ravi Mukkamala, Ashok K. Agrawala Modeling Memory Reference Patterns of Programs in Cache Memory Systems. Search on Bibsonomy MASCOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Leïla Azouz Saïdane, Farouk Kamoun Performance Evaluation and Management of the Consensus Algorithm in a Real-Time Distributed Transactional System. Search on Bibsonomy ISCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF M/G/1 station, bulk arrival, EDF discipline, scheduling, Distributed systems, response time, deadline
14Jörgen Hansson, Sten F. Andler, Sang Hyuk Son Value-Driven Multi-Class Overload Management. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF statistical skip scheduling, scheduling, overload management
14Shigero Sasaki, Takashi Matsumoto 0002, Kei Hiraki On the Schedulability Conditions on Partial Time Slots . Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Scheduling, Formal methods, Real-time requirements
14Sung-Kwan Kim, Sang Lyul Min, Rhan Ha Analysis of the Impacts of Overestimation Sources on the Accuracy of Worst Case Timing Analysis. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF real-time systems, WCET analysis
14Julio Sahuquillo, Ana Pont The Filter Cache: A Run-Time Cache Management Approach1. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multi-lateral cache, data cache management, multiprocessor systems, memory architectures, data locality
14Xiaogang Qiu, Michel Dubois 0001 Tolerating Late Memory Traps in ILP Processors. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Cheng-Ta Hsieh, Massoud Pedram Microprocessor power estimation using profile-driven program synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Trishul M. Chilimbi, James R. Larus Using Generational Garbage Collection To Implement Cache-Conscious Data Placement. Search on Bibsonomy ISMM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cache-conscious data placement, object-oriented programs, profiling, garbage collection
14Jack L. Lo, Luiz André Barroso, Susan J. Eggers, Kourosh Gharachorloo, Henry M. Levy, Sujay S. Parekh An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14John T. Robinson, Murthy V. Devarakonda Note on a Problem with Reed and Long's FBR Results. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Brad Calder, Dirk Grunwald, Michael P. Jones, Donald C. Lindsay, James H. Martin, Michael Mozer, Benjamin G. Zorn Evidence-Based Static Branch Prediction Using Machine Learning. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF neural networks, performance evaluation, machine learning, decision trees, branch prediction, program optimization
14Chen Ding, Steve Carr 0001, Philip H. Sweany Modulo Scheduling with Cache Reuse Information. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Jens Simon, Marco Vieth, Reinhold Weicker Workload Analysis of Computation Intensive Tasks: Case Study on SPEC CPU95 Benchmarks. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Erich M. Nahum, David J. Yates, James F. Kurose, Donald F. Towsley Cache Behavior of Network Protocols. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Internet
14Xiaohan Qin, Jean-Loup Baer A Performance Evaluation of Cluster-Based Architectures. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Hiroaki Fujii, Yoshiko Yasuda, Hideya Akashi, Yasuhiro Inagami, Makoto Koga, Osamu Ishihara, Masamori Kashiyama, Hideo Wada, Tsutomu Sumimoto Architecture and Performance of the Hitachi SR2201 Massively Parallel Processor System. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14David Channon, David Koch Performance Analysis of Re-configurable Partitioned TLBs. Search on Bibsonomy HICSS (5) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Computer Architecture, Memory Management, Partitioning Algorithm, Address Translation
14Itsuo Takanami, Tadayoshi Horita A built-in self-reconfigurable scheme for 3D mesh arrays. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault tolerant 3D processor arrays, 3D mesh arrays, self-reconfigurable scheme, track switches, fault compensation, reconfiguration, reconfigurable architectures
14Cheng-Ta Hsieh, Massoud Pedram, Gaurav Mehta, Fred Rastgar Profile-Driven Program Synthesis for Evaluation of System Power Dissipation. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Jim Pierce, Trevor N. Mudge Wrong-path Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Alain Raynaud, Zheng Zhang 0001, Josep Torrellas Distance-Adaptive Update Protocols for Scalable Shared-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF update protocols, cache coherence protocols, scalable shared-memory multiprocessors
14Fredrik Dahlgren, Michel Dubois 0001, Per Stenström Sequential Hardware Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, sequential prefetching, performance evaluation, shared-memory multiprocessors, memory consistency models, latency tolerance
14Farnaz Mounes-Toussi, David J. Lilja The Potential of Compile-Time Analysis to Adapt the Cache Coherence Enforcement Strategy to the Data Sharing Characteristics. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Stephanie Coleman, Kathryn S. McKinley Tile Size Selection Using Cache Organization and Data Layout. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Brad Calder, Dirk Grunwald, Donald C. Lindsay, James H. Martin, Michael Mozer, Benjamin G. Zorn Corpus-Based Static Branch Prediction. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C
14Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest, Joel S. Emer Instruction Fetching: Coping with Code Bloat. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Norman Ramsey Correctness of Trap-Based Breakpoint Implementations. Search on Bibsonomy POPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Jeffrey D. Gee, Alan Jay Smith The effectiveness of caches for vector processors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Ann Marie Grizzaffi Maynard, Colette M. Donnelly, Bret R. Olszewski Contrasting Characteristics and Cache Performance of Technical and Multi-User Commercial Workloads. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF operating system activity, technical applications, cache performance, memory subsystems, commercial workloads
14Scott T. Leutenegger, Daniel M. Dias A Modeling Study of the TPC-C Benchmark. Search on Bibsonomy SIGMOD Conference The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Jean-Marc Kuntz Performance Evaluation of Cache Memories in Tightly Coupled Multiprocessor Systems. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
14Anant Agarwal, Minor Huffman Blocking: Exploiting Spatial Locality for Trace Compaction. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Steven A. Przybylski, Mark Horowitz, John L. Hennessy Characteristics of Performance-Optimal Multi-Level Cache Hierarchies. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
14Wen-mei W. Hwu, Pohua P. Chang Achieving High Instruction Cache Performance with an Optimizing Compiler. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
14Susan J. Eggers, Randy H. Katz The Effect of Sharing on the Cache and Bus Performance of Parallel Programs. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
14Philip Heidelberger, M. Seetha Lakshmi A Performance Comparison of Multi-Micro and Mainframe Database Architectures. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
7Sebastian Altmeyer, Claire Maiza, Jan Reineke 0001 Resilience analysis: tightening the CRPD bound for set-associative caches. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache-related preemption delay, lru caches, timing analysis
7Konrad Voigt, Petko Ivanov, Andreas Rummler MatchBox: combined meta-model matching for semi-automatic mapping generation. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF meta-model matching, mapping generation, model engineering
7Aparna Mandke Dani, Keshavan Varadarajan, Bharadwaj Amrutur, Y. N. Srikant Accelerating multi-core simulators. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF chip multi-core, multi-core platform, timed petri-nets, instruction set simulator, cache simulator
7Luis Francisco-Revilla, Jeff Crow Interpretation of web page layouts by blind users. Search on Bibsonomy JCDL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF web page layouts, assistive technology, blind users
7Yi Zhang, Ramachandran Venkatesan Evaluation of security algorithms that combat Byzantine failures in Cognitive Radio Networks. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IEEE 802.22, binary hypothesis test, weighted seqential probability ratio test (WSPRT), cognitive radio network (CRN), Byzantine failure
7Xiaoying Gan, Miao Xu, Zhongren Cao, Feng Yang 0006, Yuan Zhou, Wei Zhang Analysis of alpha-domain noise and feature detection for cognitive radio systems. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ?-domain noise distribution, cyclostationary feature detection, cognitive radio, spectrum sensing
7Gernot Heiser, Ben Leslie The OKL4 microvisor: convergence point of microkernels and hypervisors. Search on Bibsonomy ApSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF real-time systems, embedded systems, virtual machines, hypervisors, microkernels
7Dafna Shahaf, Carlos Guestrin Connecting the dots between news articles. Search on Bibsonomy KDD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF coherence, news
7Hilit Achiezra, Konstantin Golenberg, Benny Kimelfeld, Yehoshua Sagiv Exploratory keyword search on data graphs. Search on Bibsonomy SIGMOD Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF information retrieval on graphs, keyword search on graphs, redundancy elimination
7Anna Cavender, Daniel S. Otero, Jeffrey P. Bigham, Richard E. Ladner Asl-stem forum: enabling sign language to grow through online collaboration. Search on Bibsonomy CHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF video, stem, deaf, american sign language, forum
7Stephanie Rosenbaum, Judith Ramey, Janice (Ginny) Redish Current issues in assessing and improving information usability. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2010 DBLP  DOI  BibTeX  RDF user interfaces, training, documentation, user assistance, help
7Edward Lank, Ryan Stedman, Michael A. Terry Estimating residual error rate in recognized handwritten documents using artificial error injection. Search on Bibsonomy CHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF artificial error., handwriting recognition, residual error
7Shari Trewin, John T. Richards, Rachel K. E. Bellamy, Bonnie E. John, John C. Thomas, Calvin Swart, Jonathan P. Brezin Toward modeling auditory information seeking strategies on the web. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2010 DBLP  DOI  BibTeX  RDF accessibility, cognitive modeling, visual impairment
7Byeongcheol Lee, Ben Wiedermann, Martin Hirzel, Robert Grimm 0001, Kathryn S. McKinley Jinn: synthesizing dynamic bug detectors for foreign language interfaces. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ffi bugs, foreign function interfaces (FFI), java native interface (jni), multilingual programs, python/C, specification generation, specification, dynamic analysis
7Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
Displaying result #901 - #1000 of 1974 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license