The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for finfet with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003-2006 (17) 2007 (17) 2008 (19) 2009 (20) 2010 (27) 2011 (18) 2012 (31) 2013 (32) 2014 (77) 2015 (105) 2016 (86) 2017 (81) 2018 (122) 2019 (118) 2020 (94) 2021 (105) 2022 (100) 2023 (104) 2024 (18)
Publication types (Num. hits)
article(395) book(2) incollection(1) inproceedings(790) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 59 occurrences of 34 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Qing Xie 0001, Xue Lin, Yanzhi Wang, Shuang Chen 0001, Mohammad Javad Dousti, Massoud Pedram Performance Comparisons Between 7-nm FinFET and Conventional Bulk CMOS Standard Cell Libraries. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Ning Lu, Richard A. Wachnik Modeling of Resistance in FinFET Local Interconnect. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Toshiki Kanamoto, Takeichiro Akamine, Hiroaki Ammo, Takashi Hasegawa, Kouhei Shimizu, Yoshinori Kumano, Masaharu Kawano, Atsushi Kurokawa Structure optimization for timing in nano scale FinFET. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Yu Yuan, Cecilia García Martin, Erdal Oruklu Standard cell library characterization for FinFET transistors using BSIM-CMG models. Search on Bibsonomy EIT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Deeksha Anandani, Anurag Kumar, V. S. Kanchana Bhaaskaran Gating techniques for 6T SRAM cell using different modes of FinFET. Search on Bibsonomy ICACCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Jean-Pierre Raskin FinFET versus UTBB SOI - A RF perspective. Search on Bibsonomy ESSDERC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Yves Laplanche Implementation of ARM® Cores in FinFET technolgies. Search on Bibsonomy ESSDERC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Dong-Hyeok Son, Young-woo Jo, Ryun-Hwi Kim, Chan Heo, Jae Hwa Seo, Jin Su Kim, In Man Kang, Sorin Cristoloveanu, Jung-Hee Lee Fabrication of high performance AlGaN/GaN FinFET by utilizing anisotropic wet etching in TMAH solution. Search on Bibsonomy ESSDERC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Shraddha Kothari, Chandan Joishi, Dhirendra Vaidya, Hasan Nejad, Benjamin Colombeau, Swaroop Ganguly, Saurabh Lodha Metal gate VT modulation using PLAD N2 implants for Ge p-FinFET applications. Search on Bibsonomy ESSDERC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Kunzhi Yu, Cheng Li, Tsung-Ching Huang, M. Ashkan Seyedi, Dacheng Zhou, Christopher Wilson, Daniel A. Berkram, Samuel Palermo, Jonathan Q. Smela, Marco Fiorentino, Raymond G. Beausoleil 56 Gb/s PAM-4 optical receiver frontend in an advanced FinFET process. Search on Bibsonomy MWSCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Behzad Zeinali, Jens Kargaard Madsen, Praveen Raghavan, Farshad Moradi Sub-Threshold SRAM Design in 14 Nm FinFET Technology with Improved Access Time and Leakage Power. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Grigor Tshagharyan, Gurgen Harutyunyan, Samvel K. Shoukourian, Yervant Zorian Overview study on fault modeling and test methodology development for FinFET-based memories. Search on Bibsonomy EWDTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Phil Oldiges, Kenneth P. Rodbell, Michael S. Gordon, John G. Massey, Kevin Stawiasz, Conal E. Murray, Henry H. K. Tang, K. Kim, K. Paul Muller SOI FinFET soft error upset susceptibility and analysis. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Franco Stellari, Keith A. Jenkins, Alan J. Weger, Barry P. Linder, Peilin Song Self-heating characterization of FinFET SOI devices using 2D time resolved emission measurements. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Jian-Hsing Lee, Manjunatha Prabhu, Konstantin Korablev, Jagar Singh, Mahadeva Iyer Natarajan, Shesh Mani Pandey Methodology to achieve planar technology-like ESD performance in FINFET process. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Yongsheng Sun, Canhui Zhan, Jianping Guo, Yiwei Fu, Guangming Li, Jun Xia Localized thermal effect of sub-16nm FinFET technologies and its impact on circuit reliability designs and methodologies. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Choelhwyi Bae, Sangwoo Pae, Cheong-sik Yu, Kangjung Kim, Yongshik Kim, Jongwoo Park 0001 SRAM stability design comprehending 14nm FinFET reliability. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14N. Tam, Bharat L. Bhuva, Lloyd W. Massengill, Dennis R. Ball, Michael W. McCurdy, Michael L. Alles, Indranil Chatterjee Multi-cell soft errors at the 16-nm FinFET technology node. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14C. W. Chang, S. E. Liu, B. L. Lin, C. C. Chiu, Y.-H. Lee, K. Wu Thermal behavior of self-heating effect in FinFET devices acting on back-end interconnects. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Nilesh Goel, P. Dubey, J. Kawa, S. Mahapatra Impact of time-zero and NBTI variability on sub-20nm FinFET based SRAM at low voltages. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Changze Liu, Hyun-Chul Sagong, Hyejin Kim, Seungjin Choo, Hyunwoo Lee, Yoohwan Kim, Hyunjin Kim, Bisung Jo, Minjung Jin, Jinjoo Kim, Sangsu Ha, Sangwoo Pae, Jongwoo Park 0001 Systematical study of 14nm FinFET reliability: From device level stress to product HTOL. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Miaomiao Wang 0006, Zuoguang Liu, Tenko Yamashita, James H. Stathis, Chia-Yu Chen Separation of interface states and electron trapping for hot carrier degradation in ultra-scaled replacement metal gate n-FinFET. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14I. K. Chen, C. L. Chen, Y.-H. Lee, R. Lu, Y. W. Lee, H. H. Hsu, Y. W. Tseng, Y. W. Lin, J. R. Shih New TDDB lifetime model for AC inverter-like stress in advance FinFET structure. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Soonyoung Lee, Ilgon Kim, Sungmock Ha, Cheong-sik Yu, Jinhyun Noh, Sangwoo Pae, Jongwoo Park 0001 Radiation-induced soft error rate analyses for 14 nm FinFET SRAM devices. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Ji Li 0006, Qing Xie 0001, Yanzhi Wang, Shahin Nazarian, Massoud Pedram Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
14Yusuke Shuto, Shuu'ichirou Yamamoto, Satoshi Sugahara Comparative study of power-gating architectures for nonvolatile FinFET-SRAM using spintronics-based retention technology. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
14A. Arun Goud, Rangharajan Venkatesan, Anand Raghunathan, Kaushik Roy 0001 Asymmetric underlapped FinFET based robust SRAM design at 7nm node. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
14Osama Abdelkader, Hassan Mostafa, Hamdy Abd Elhamid, Ahmed M. Soliman The impact of FinFET technology scaling on critical path performance under process variations. Search on Bibsonomy ICEAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Alireza Shafaei, Shuang Chen 0001, Yanzhi Wang, Massoud Pedram A cross-layer framework for designing and optimizing deeply-scaled FinFET-based SRAM cells under process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Kuan-Ying Chiang, Yu-Hao Ho, Yo-Wei Chen, Cheng-Sheng Pan, James Chien-Mo Li Fault Simulation and Test Pattern Generation for Cross-gate Defects in FinFET Circuits. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Alessandra Leonhardt, Luiz Fernando Ferreira, Sergio Bampi Nanoscale FinFET global parameter extraction for the BSIM-CMG model. Search on Bibsonomy LASCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Felipe Rosa 0001, Raphael Martins Brum, Gilson I. Wirth, Luciano Ost, Ricardo Reis 0001 Impact of dynamic voltage scaling and thermal factors on FinFET-based SRAM reliability. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Osama Abdelkader, Hassan Mostafa, Hamdy Abd Elhamid, Ahmed M. Soliman Impact of technology scaling on the minimum energy point for FinFET based flip-flops. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Alexandra L. Zimpeck, Cristina Meinhardt, Gracieli Posser, Ricardo Reis 0001 Process variability in FinFET standard cells with different transistor sizing techniques. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Po-Hsun Wu, Mark Po-Hung Lin, Xin Li 0001, Tsung-Yi Ho Common-Centroid FinFET Placement Considering the Impact of Gate Misalignment. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Kirti Bhanushali, W. Rhett Davis FreePDK15: An Open-Source Predictive Process Design Kit for 15nm FinFET Technology. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Juan Pablo Duarte, Sourabh Khandelwal, Aditya Sankar Medury, Chenming Hu, Pragya Kushwaha, Harshit Agarwal, Avirup Dasgupta, Yogesh Singh Chauhan BSIM-CMG: Standard FinFET compact model for advanced circuit design. Search on Bibsonomy ESSCIRC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Sanu Mathew, David Johnston, Paul Newman 0002, Sudhir Satpathy, Vikram B. Suresh, Mark A. Anders 0001, Himanshu Kaul, Gregory K. Chen, Amit Agarwal 0001, Steven Hsu, Ram Krishnamurthy 0001 μRNG: A 300-950mV 323Gbps/W all-digital full-entropy true random number generator in 14nm FinFET CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Alexander Fritsch, Michael Kugel, Rolf Sautter, Dieter F. Wendel, Juergen Pille, Otto A. Torreiter, Shankar Kalyanasundaram, Daniel A. Dobson A 4GHz, low latency TCAM in 14nm SOI FinFET technology using a high performance current sense amplifier for AC current surge reduction. Search on Bibsonomy ESSCIRC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Mei-Chen Chuang, Chia-Liang Tai, Ying-Chih Hsu, Alan Roth, Eric G. Soenen A temperature sensor with a 3 sigma inaccuracy of ±2°C without trimming from -50°C to 150°C in a 16nm FinFET process. Search on Bibsonomy ESSCIRC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Tatjana Pesic-Brdjanin, Nebojsa D. Jankovic Sub-sircuit model of fully-depleted double-gate FinFET including the effects of oxide and interface trapped charge. Search on Bibsonomy EUROCON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Ermao Cai, Diana Marculescu TEI-Turbo: Temperature Effect Inversion-Aware Turbo Boost for FinFET-Based Multi-Core Systems. Search on Bibsonomy ICCAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Sravan K. Marella, Amit Ranjan Trivedi, Saibal Mukhopadhyay, Sachin S. Sapatnekar Optimization of FinFET-based circuits using a dual gate pitch technique. Search on Bibsonomy ICCAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Mohamed Mohie El-Din, Hassan Mostafa, Hossam A. H. Fahmy, Yehea I. Ismail, Hamdy Abdelhamid Performance evaluation of FinFET-based FPGA cluster under threshold voltage variation. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Mohsen Imani, Shruti Patil, Tajana Simunic Rosing Hierarchical design of robust and low data dependent FinFET based SRAM array. Search on Bibsonomy NANOARCH The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Pablo Royer, Fernando García-Redondo, Marisa López-Vallejo Evolution of radiation-induced soft errors in FinFET SRAMs under process variations beyond 22nm. Search on Bibsonomy NANOARCH The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Srinivasa Banna Scaling challenges of FinFET technology at advanced nodes and its impact on SoC design (Invited). Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Tsung-Hsien Tsai, Min-Shueh Yuan, Chih-Hsien Chang, Chia-Chun Liao, Chao-Chieh Li, Robert Bogdan Staszewski 14.5 A 1.22ps integrated-jitter 0.25-to-4GHz fractional-N ADPLL in 16nm FinFET CM0S. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Eric Karl, Zheng Guo, James W. Conary, Jeffrey L. Miller, Yong-Gee Ng, Satyanand Nalam, Daeyeon Kim, John Keane 0001, Uddalak Bhattacharya, Kevin Zhang 0001 17.1 A 0.6V 1.5GHz 84Mb SRAM design in 14nm FinFET CMOS technology. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hidehiro Fujiwara, Li-Wen Wang, Yen-Huei Chen, Kao-Cheng Lin, Dar Sun, Shin-Rung Wu, Jhon-Jhy Liaw, Chih-Yung Lin, Mu-Chi Chiang, Hung-Jen Liao, Shien-Yang Wu, Jonathan Chang 17.2 A 64kb 16nm asynchronous disturb current free 2-port SRAM with PMOS pass-gates for FinFET technologies. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Pier Andrea Francese, Thomas Toifl, Matthias Braendli, Christian Menolfi, Marcel A. Kossel, Thomas Morf, Lukas Kull, Toke Meyer Andersen, Hazar Yueksel, Alessandro Cevrero, Danny Luu 10.6 continuous-time linear equalization with programmable active-peaking transistor arrays in a 14nm FinFET 2mW/Gb/s 16Gb/s 2-Tap speculative DFE receiver. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Minyoung Song, Taeik Kim, Jihyun F. Kim, Wooseok Kim, Sung-Jin Kim, Hojin Park 14.8 A 0.009mm2 2.06mW 32-to-2000MHz 2nd-order ΔΣ analogous bang-bang digital PLL with feed-forward delay-locked and phase-locked operations in 14nm FinFET technology. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Sung-Jin Kim, Wooseok Kim, Minyoung Song, Jihyun F. Kim, Taeik Kim, Hojin Park 15.5 A 0.6V 1.17ps PVT-tolerant and synthesizable time-to-digital converter using stochastic phase interpolation with 16× spatial redundancy in 14nm FinFET technology. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Kyung-Hoae Koo, Liqiong Wei, John Keane 0001, Uddalak Bhattacharya, Eric A. Karl, Kevin Zhang 0001 A 0.094um2 high density and aging resilient 8T SRAM with 14nm FinFET technology featuring 560mV VMIN with read and write assist. Search on Bibsonomy VLSIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Rajiv V. Joshi, Matthew M. Ziegler, Holger Wetter, C. Wandel, Herschel A. Ainspan 14nm FinFET based supply voltage boosting techniques for extreme low Vmin operation. Search on Bibsonomy VLSIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Tsung-Kai Kao, Ping Chen, Jui-Yuan Tsai, Pao-Cheng Chiu A 16nm FinFet 19/39MHz 78/72dB DR noise-injected aggregated CTSDM ADC for configurable LTE advanced CCA/NCCA Application. Search on Bibsonomy VLSIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Chien-Ju Chen, Yin-Nien Chen, Ming-Long Fan, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang Evaluation of TFET and FinFET devices and 32-Bit CLA circuits considering work function variation and line-edge roughness. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Vaibhav Verma, Sachin Taneja, Pritender Singh, Sanjeev Kumar Jain A 128-kb 10% power reduced 1T high density ROM with 0.56 ns access time using bitline edge sensing in sub 16nm bulk FinFET technology. Search on Bibsonomy SoCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Tse-Ching Wu, Chien-Ju Chen, Yin-Nien Chen, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang Evaluation of energy-efficient latch circuits with hybrid tunneling FET and FinFET devices for ultra-low-voltage applications. Search on Bibsonomy SoCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14A. Rouhi Najaf Abadi, W. Guo, X. Sun, K. Ben Ali, Jean-Pierre Raskin, Martin Rack, C. Roda Neve, M. Choi, V. Moroz, Geert Van der Plas, Ingrid De Wolf, Eric Beyne, Philippe P. Absil Through silicon via to FinFET noise coupling in 3-D integrated circuits. Search on Bibsonomy ICICDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Kenichi Miyaguchi, Bertrand Parvais, Lars-Åke Ragnarsson, Piet Wambacq, Praveen Raghavan, Abdelkarim Mercha, Anda Mocuta, Diederik Verkest, Aaron Thean Modeling FinFET metal gate stack resistance for 14nm node and beyond. Search on Bibsonomy ICICDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Tse-Ching Wu, Chien-Ju Chen, Yin-Nien Chen, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang Evaluation of 32-Bit carry-look-ahead adder circuit with hybrid tunneling FET and FinFET devices. Search on Bibsonomy ICICDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Geert Eneman, An De Keersgieter, Anda Mocuta, Nadine Collaert, Aaron Thean FinFET stressor efficiency on alternative wafer and channel orientations for the 14 nm node and below. Search on Bibsonomy ICICDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hector Villacorta, Roberto Gómez 0001, Sebastià A. Bota, Jaume Segura 0001, Víctor H. Champac Impact of increasing the fin height on soft error rate and static noise margin in a FinFET-based SRAM cell. Search on Bibsonomy LATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Tiansong Cui, Bowen Chen, Yanzhi Wang, Shahin Nazarian, Massoud Pedram Layout Characterization and Power Density Analysis for Shorted-Gate and Independent-Gate 7nm FinFET Standard Cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Bhupendra Singh Reniwal, Vikas Vijayvargiya, Pooran Singh, Santosh Kumar Vishvakarma, Devesh Dwivedi Dataline Isolated Differential Current Feed/Mode Sense Amplifier for Small Icell SRAM Using FinFET. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Tian Wang, Xiaoxin Cui, Kai Liao, Nan Liao, Yewen Ni, Dunshan Yu, Xiaole Cui Employing the mixed FBB/RBB in the design of FinFET logic gates. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Meng-Chou Chang, Kai-Lun He Design of low-power FinFET-based TCAMs with unevenly-segmented matchlines for routing table applications. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Alireza Shafaei, Yanzhi Wang, Antonio Petraglia, Massoud Pedram Design optimization of sense amplifiers using deeply-scaled FinFET devices. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Saurabh Sinha, Lucian Shifren, Vikas Chandra, Brian Cline, Greg Yeric, Robert C. Aitken, Bingjie Cheng, Andrew R. Brown, Craig Riddet, C. Alexandar, Campbell Millar, Asen Asenov Circuit design perspectives for Ge FinFET at 10nm and beyond. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Mohammad Saeed Abrishami, Alireza Shafaei, Yanzhi Wang, Massoud Pedram Optimal choice of FinFET devices for energy minimization in deeply-scaled technologies. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Roohollah Yarmand, Behzad Ebrahimi, Hassan Afzali-Kusha, Ali Afzali-Kusha, Massoud Pedram High-performance and high-yield 5 nm underlapped FinFET SRAM design using P-type access transistors. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Chieh-Yang Chen, Wen-Tsung Huang, Yiming Li 0005 Electrical characteristic and power consumption fluctuations of trapezoidal bulk FinFET devices and circuits induced by random line edge roughness. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Gurgen Harutyunyan, Grigor Tshagharyan, Yervant Zorian Impact of parameter variations on FinFET faults. Search on Bibsonomy VTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Huaxing Tang, Ting-Pu Tai, Wu-Tung Cheng, Brady Benware, Friedrich Hapke Diagnosing timing related cell internal defects for FinFET technology. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Sudeb Dasgupta, Bulusu Anand Tutorial T6: FinFET Device Circuit Co-design: Issues and Challenges. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Chia-Liang Tai, Alan Roth, Eric G. Soenen A digital low drop-out regulator with wide operating range in a 16nm FinFET CMOS process. Search on Bibsonomy A-SSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Zoran Jaksic Cache memory design in the FinFET era. Search on Bibsonomy 2015   RDF
14Chun-Yi Lee, Niraj K. Jha FinCANON: A PVT-Aware Integrated Delay and Power Modeling Framework for FinFET-Based Caches and On-Chip Networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Yang Yang, Niraj K. Jha FinPrin: FinFET Logic Circuit Analysis and Optimization Under PVT Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Zia Abbas, Antonio Mastrandrea, Mauro Olivieri A Voltage-Based Leakage Current Calculation Scheme and its Application to Nanoscale MOSFET and FinFET Standard-Cell Designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Ajay N. Bhoj, Niraj K. Jha Parasitics-Aware Design of Symmetric and Asymmetric Gate-Workfunction FinFET SRAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14K. Keerti Kumar, N. Bheema Rao Power gating Technique using FinFET for Minimization of sub-Threshold Leakage Current. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Qian Xie, Renrong Liang, Jing Wang, Libin Liu, Jun Xu Nanoscale triple-gate FinFET design considerations based on an analytical model of short-channel effects. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Sourindra Chaudhuri, Niraj K. Jha 3D vs. 2D Device Simulation of FinFET Logic Gates under PVT Variations. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Xianmin Chen, Niraj K. Jha Ultra-low-leakage chip multiprocessor design with hybrid FinFET logic styles. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Sourindra M. Chaudhuri, Prateek Mishra, Niraj K. Jha Accurate Leakage/Delay Estimation for FinFET Standard Cells under PVT Variations using the Response Surface Methodology. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Piotr Zajac, Marcin Janicki, Michal Szermer, Andrzej Napieralski Evaluating the impact of scaling on temperature in FinFET-technology multicore processors. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Arundhati Bhattacharya, Aminul Islam 0002 Design and Analysis of Robust Spin Transfer Torque Magnetic Random Access Memory Bitcell Using FinFET. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Laurent Artola, Guillaume Hubert, Massimo Alioto Comparative soft error evaluation of layout cells in FinFET technology. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Antonio Calomarde, Esteve Amat, Francesc Moll, Julio Vigara, Antonio Rubio 0001 SET and noise fault tolerant circuit design techniques: Application to 7 nm FinFET. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Ming-Long Fan, Shao-Yu Yang, Vita Pi-Ho Hu, Yin-Nien Chen, Pin Su, Ching-Te Chuang Single-trap-induced random telegraph noise for FinFET, Si/Ge Nanowire FET, Tunnel FET, SRAM and logic circuits. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Sanjit Kumar Swain, Sarosij Adak, Sudhansu Kumar Pati, Hemant Pardeshi, Chandan Kumar Sarkar Analysis of flicker and thermal noise in p-channel Underlap DG FinFET. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Cristina Meinhardt, Alexandra L. Zimpeck, Ricardo A. L. Reis Predictive evaluation of electrical characteristics of sub-22 nm FinFET technologies under device geometry variations. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi Robust FinFET SRAM design based on dynamic back-gate voltage adjustment. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Panagiotis Chaourani, Spyridon Nikolaidis 0001 A unified CMOS inverter model for planar and FinFET nanoscale technologies. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Mark Buckler, Arpan Vaidya, Xiaobin Liu, Wayne P. Burleson Dynamic synchronizer flip-flop performance in FinFET technologies. Search on Bibsonomy NOCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Vimal Kumar Mishra, Rajeev K. Chauhan Impact of Ge substrate on drain current of Trigate N-FinFET. Search on Bibsonomy ICACCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Xingsheng Wang, Binjie Cheng, Andrew R. Brown, Campbell Millar, Asen Asenov Accurate simulations of the interplay between process and statistical variability for nanoscale FinFET-based SRAM cell stability. Search on Bibsonomy ESSDERC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Giulia Piccolo, P. I. Kuindersma, L.-Å. Ragnarsson, Raymond J. E. Hueting, Nadine Collaert, Jurriaan Schmitz Silicon LEDs in FinFET technology. Search on Bibsonomy ESSDERC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license