The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Mahmut T. Kandemir Exploiting Memory Bank Locality in Multiprocessor SoC Architectures. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Basant Kumar Dwivedi, Anshul Kumar, M. Balakrishnan Automatic synthesis of system on chip multiprocessor architectures for process networks. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF application specific multiprocessors, partitioning, Kahn process networks
15Wenbin Yao, Dongsheng Wang 0002, Weimin Zheng A Fault-Tolerant Single-Chip Multiprocessor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Diana Villa, Jaime C. Acosta, Patricia J. Teller, Bret R. Olszewski, Trevor Morgan A Framework for Profiling Multiprocessor Memory Performance. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Sascha Hunold, Thomas Rauber, Gudula Rünger Hierarchical Matrix-Matrix Multiplication Based on Multiprocessor Tasks. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Gisele da Silva Craveiro, Liria Matsumoto Sato CPAR-Cluster: a runtime system for heterogeneous clusters with mono and multiprocessor nodes. Search on Bibsonomy CCGRID The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Philip Holman, James H. Anderson Implementing Pfairness on a Symmetric Multiprocessor. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Adam Janiak, Tomasz Krysiak Multiprocessor Scheduling Problem with Stepwise Model of Job Value Change. Search on Bibsonomy OR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Shampa Chakraverty Cosynthesis of multiprocessor architectures with high availability. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Basant Kumar Dwivedi, Anshul Kumar, M. Balakrishnan Synthesis of Application Specific Multiprocessor Architectures for Process Networks. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Krishnan Srinivasan, Karam S. Chatha An ILP Formulation for System Level Throughput and Power Optimization in Multiprocessor SoC Architectures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Wolfgang W. Bein, Lawrence L. Larmore, Rüdiger Reischuk Knowledge States for the Caching Problem in Shared Memory Multiprocessor Systems. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Armando Ferro, Fidel Liberal, Alejandro Muñoz, Cristina Perfecto Network Traffic Sensor for Multiprocessor Architectures: Design Improvement Proposals. Search on Bibsonomy SAPIR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Milan Pastrnak, Peter Poplavko, Peter H. N. de With, Dirk Farin Data-flow Timing Models of Dynamic Multimedia Applications for Multiprocessor Systems. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Frode Eika Sandnes, Oliver Sinnen Stochastic DFS for Multiprocessor Scheduling of Cyclic Taskgraphs. Search on Bibsonomy PDCAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15John Nickolls, L. J. Madar III, Scott Johnson, Viresh Rustagi, Ken Unger, Mustafiz Choudhury Calisto: A Low-Power Single-Chip Multiprocessor Communications Platform. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Luca Benini, Davide Bertozzi, Davide Bruni, Nicola Drago, Franco Fummi, Massimo Poncino SystemC Cosimulation and Emulation of Multiprocessor SoC Designs. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Mourad Rabah, Karama Kanoun Performability Evaluation of Multipurpose Multiprocessor Systems: The "Separation of Concerns" Approach. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Dependability and performability evaluation, multipurpose multiprocessors systems, distributed shared memory, clustered systems, stochastic reward nets, modular modeling
15Philip Holman, James H. Anderson Using Supertasks to Improve Processor Utilization in Multiprocessor Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Sanjoy K. Baruah, John Carpenter Multiprocessor Fixed-Priority Scheduling with Restricted Interprocessor Migrations. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Gregory D. Benson, Kai Long, Peter S. Pacheco The Performance of Parallel Disk Write Methods for Linux Multiprocessor Nodes. Search on Bibsonomy PVM/MPI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Václav Dvorák, Vladimír Kutálek A Methodology for Designing Communication Architectures for Multiprocessor SoCs. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Basheer Al-Duwairi, Govindarasu Manimaran Combined Scheduling of Hard and Soft Real-Time Tasks in Multiprocessor Systems. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Satoshi Fujita, Masayuki Masukawa, Shigeaki Tagashira Recursive Refinement of Lower Bounds in the Multiprocessor Scheduling Problem. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Dania A. El-Kebbe Real-Time Hybrid Task Scheduling Upon Multiprocessor Production Stages. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Josep M. Banús, Alex Arenas, Jesús Labarta Dual Priority Algorithm to Schedule Real-Time Tasks in a Shared Memory Multiprocessor. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF soft-aperiodic tasks, real-time, shared memory multiprocessors, global scheduling
15Nathan R. Fredrickson, Ahmad Afsahi, Ying Qian Performance characteristics of openMP constructs, and application benchmarks on a large symmetric multiprocessor. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF NAS OpenMP, SPEC OMPL2001, performance evaluation, high-performance computing, OpenMP, SMP
15Kai Richter 0001, Razvan Racu, Rolf Ernst Scheduling Analysis Integration for Heterogeneous Multiprocessor SoC. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Theodore P. Baker Multiprocessor EDF and Deadline Monotonic Schedulability Analysis. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Joanna Jedrzejowicz, Piotr Jedrzejowicz Population-Based Approach to Multiprocessor Task Scheduling in Multistage Hybrid Flowshops. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Juan del Cuvillo, Xinmin Tian, Guang R. Gao, Milind Girkar Performance Study of a Whole Genome Comparison Tool on a Hyper-Threading Multiprocessor. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Nitin Auluck, Dharma P. Agrawal A Scalable Task Duplication Based Algorithm for Improving the Schedulability of Real-Time Heterogeneous Multiprocessor Systems. Search on Bibsonomy ICPP Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ceyda Oguz, Yu-Fai Fung, Muhammet Fikret Ercan, X. T. Qi Parallel Genetic Algorithm for a Flow-Shop Problem with Multiprocessor Tasks. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Andrei Tchernykh, Denis Trystram Online Scheduling of Multiprocessor Jobs with Idle Regulation. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Magnus Ekman, Per Stenström Performance and Power Impact of Issue-width in Chip-Multiprocessor Cores. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Min Xu, Rastislav Bodík, Mark D. Hill A "Flight Data Recorder" for Enabling Full-System Multiprocessor Deterministic Replay. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Zarka Cvetanovic Performance Analysis of the Alpha 21364-BAsed HP GS1280 Multiprocessor. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Randall S. Janka, Linda M. Wills, Lewis Benton Baumstark Jr. Virtual Benchmarking and Model Continuity in Prototyping Embedded Multiprocessor Signal Processing Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF model continuity, open-standards middleware, specification and design methodology, Hardware/software codesign
15Wander O. Cesário, Damien Lyonnard, Gabriela Nicolescu, Yanick Paviot, Sungjoo Yoo, Ahmed Amine Jerraya, Lovic Gauthier, Mario Diaz-Nava Multiprocessor SoC Platforms: A Component-Based Design Approach. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Chouki Aktouf A Complete Strategy for Testing an On-Chip Multiprocessor Architecture. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Markus Rudack, Michael Redeker, Jörg Hilgenstock, Sören Moch, Jens Castagne A Large-Area Integrated Multiprocessor System for Video Applications. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Valdemar Mejstad, Karl-Johan Tångby, Lars Lundberg Improving Multiprocessor Performance of a Large Telecommunication System by Replacing Interpretation with Compilation. Search on Bibsonomy ECBS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Evripidis Bampis, Massimiliano Caramia, Jirí Fiala 0001, Aleksei V. Fishkin, Antonio Iovanella Scheduling of Independent Dedicated Multiprocessor Tasks. Search on Bibsonomy ISAAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Valentin Puente, José A. Gregorio, Ramón Beivide SICOSYS: An Integrated Framework for studying Interconnection Network Performance in Multiprocessor Systems. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Philip Holman, James H. Anderson Object Sharing in Pfair-scheduled Multiprocessor Systems. Search on Bibsonomy ECRTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Paolo Gai, Luca Abeni, Giorgio C. Buttazzo Multiprocessor DSP Scheduling in System-on-a-chip Architectures. Search on Bibsonomy ECRTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15S. Swaminathan, G. Manimaran A Reliability-Aware Value-Based Scheduler for Dynamic Multiprocessor Real-Time Systems. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Ahmed Amine Jerraya, Damien Lyonnard, Samy Meftali, Frédéric Rousseau 0001, Ferid Gharsalli Unifying Memory and Processor Wrapper Architecture in Multiprocessor SoC Design. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory wrapper generation, system-on-chip, embedded memory, memory access
15Sorin Manolache, Petru Eles, Zebo Peng Schedulability analysis of multiprocessor real-time applications with stochastic task execution times. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Philip Holman, James H. Anderson Locking in Pfair-Scheduled Multiprocessor Systems. Search on Bibsonomy RTSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Sanjoy K. Baruah, Joël Goossens, Giuseppe Lipari Implementing Constant-Bandwidth Servers upon Multiprocessor Platform. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Mahmut T. Kandemir, J. Ramanujam, Alok N. Choudhary Exploiting shared scratch pad memory space in embedded multiprocessor systems. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data tiles, memories, compiler optimizations, energy consumption, access patterns, scratch pad, embedded multiprocessors
15Ferid Gharsalli, Samy Meftali, Frédéric Rousseau 0001, Ahmed Amine Jerraya Automatic generation of embedded memory wrapper for multiprocessor SoC. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dystem-on-chip, memory wrapper generation, embedded memory, memory access
15Ruey-Maw Chen, Yueh-Min Huang Multiprocessor Task Assignment with Fuzzy Hopfield Neural Network Clustering Technique. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Fuzzy Hopfield neural network, Clustering, Scheduling, Optimisation, Competitive, Fuzzy c-means, Hopfield neural network
15Marco Cavadini, Matthias Wosnitza, Gerhard Tröster Multiprocessor system for high-resolution image correlation in real time. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Hubert Garavel, César Viho, Massimo Zendri System design of a CC-NUMA multiprocessor architecture using formal specification, model-checking, co-simulation, and test generation. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Verification, Formal specification, Testing, Formal methods, Validation, Code generation, Computer architecture, Test generation, Rapid prototyping, Process algebra, Conformance testing, Cache coherency, System level design, Co-design, lotos, Hardware design, Co-simulation, numa, cc-numa
15Josef Heers, Christoph Schnörr, H. Siegfried Stiehl Globally convergent iterative numerical schemes for nonlinear variational image smoothing and segmentation on a multiprocessor machine. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Kumiko Nomura, Toshinori Yamada, Shuichi Ueno On Adaptive Fault Diagnosis for Multiprocessor Systems. Search on Bibsonomy ISAAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15José María López, José Luis Díaz, Daniel F. García Minimum and Maximum Utilization Bounds for Multiprocessor RM Scheduling. Search on Bibsonomy ECRTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Aleksei V. Fishkin, Klaus Jansen, Lorant Porkolab On Minimizing Average Weighted Completion Time of Multiprocessor Tasks with Release Dates. Search on Bibsonomy ICALP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Weiping Zhu 0001 Queue Structures for Shared-Memory Multiprocessor Systems. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Manuel Barrio-Solórzano, Maria Encarnación Beato Gutiérrez, Carlos Enrique Cuesta Quintero, Pablo de la Fuente Formal Verification of Coherence for a Shared Memory Multiprocessor Model. Search on Bibsonomy PaCT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Felicia Ionescu, Mihail F. Ionescu, Cristina Coconu, Valentin Stoica Coarse-Grain Parallelization of Test Vectors Generation on Multiprocessor Systems. Search on Bibsonomy IWCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Chong-liang Ooi, Seon Wook Kim, Il Park 0001, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Wander O. Cesário, Gabriela Nicolescu, Lovic Gauthier, Damien Lyonnard, Ahmed Amine Jerraya Colif: a Multilevel Design Representation for Application-Specific Multiprocessor System-on-Chip Design. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15J. Robert Heath, Andrew Tan Modeling, Design, Virtual and Physical Prototyping, Testing, and Verification of a Multifunctional Processor Queue for a Single-Chip Multiprocessor Architecture. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Real-time reconfigurable architecture, analytic functional modeling, real-time testing and functional/performance verification, design, FPGA prototyping
15Dimitra Ananidou, George Hassapis, Dimitris Kleftouris High Level Timed Petri Net Templates for the Temporal Verification of Real-Time Multiprocessor Applications. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Andy B. Yoo, Morris A. Jette An Efficient and Scalable Coscheduling Technique for Large Symmetric Multiprocessor Clusters. Search on Bibsonomy JSSPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Koji Hashimoto, Tatsuhiro Tsuchiya, Tohru Kikuno Fault-Secure Scheduling of Arbitrary Task Graphs to Multiprocessor Systems. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault-secure scheduling, tests, multiprocessors, task graphs, fault security
15José María López, Manuel García, José Luis Díaz, Daniel F. García Worst-case utilization bound for EDF scheduling on real-time multiprocessor systems. Search on Bibsonomy ECRTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Luigi Carro, Márcio Eduardo Kreutz, Flávio Rech Wagner, Márcio Oyamada System Synthesis for Multiprocessor Embedded Applications. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Tsung-Chuan Huang, Chi-Fan, Po-Hsueh Hsu An Efficient Run-Time Scheme for Exploiting Parallelism on Multiprocessor Systems. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Yong Ho Song, Timothy Mark Pinkston On Message.Dependent Deadlocks in Multiprocessor/Multicomputer Systems. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Azzedine Boukerche Conservative Circuit Simulation on Multiprocessor Machines. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Felicia Ionescu, Andrei Jalba, Mihail F. Ionescu Parallel Implementation of Fast Hartley Transform (FHT) in Multiprocessor Systems (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Gregory O. Khanlarov, German A. Lukianov, Dmitry Yu. Malashonok, Vladimir V. Zakharov Parallel DSMC on Shared and Hybrid Memory Multiprocessor Computers. Search on Bibsonomy HPCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Assefaw Hadish Gebremedhin, Isabelle Guérin Lassous, Jens Gustedt, Jan Arne Telle Graph Coloring on a Coarse Grained Multiprocessor. Search on Bibsonomy WG The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Jerzy Pawel Nowacki, Grzegorz Pycka, Franciszek Seredynski Multiprocessor Scheduling with Support by Genetic Algorithms-Based Learning Classifier System. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Pierfrancesco Foglia, Roberto Giorgi, Cosimo Antonio Prete Performance Analysis of Electronic Commerce Multiprocessor Server. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Naraig Manjikian A Vector Multiprocessor for Real-Time Multi-User Detection in Spread-Spectrum Communication. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parallel processing, spread-spectrum communication, vector processing, multi-user detection
15Klaus Herrmann 0002, Sören Moch, Jörg Hilgenstock, Peter Pirsch Implementation of a Multiprocessor System with Distributed Embedded DRAM on a Large Area Integrated Circuit. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Iffat H. Kazi, David J. Lilja A Comprehensive Dynamic Processor Allocation Scheme for Multiprogrammed Multiprocessor Systems. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15R. Grindley, Tarek S. Abdelrahman, Stephen Dean Brown, S. Caranci, D. DeVries, Benjamin Gamsa, A. Grbic, M. Gusat, R. Ho, Orran Krieger, Guy G. Lemieux, K. Loveless, Naraig Manjikian, P. McHardy, Sinisa Srbljic, Michael Stumm, Zvonko G. Vranesic, Zeljko Zilic The NUMAchine Multiprocessor. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Leonel Sousa, Oliver Sinnen Synchronous Non-local Image Processing on Orthogonal Multiprocessor Systems. Search on Bibsonomy VECPAR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Satoshi Tayu, Motoyasu Katsura, Mineo Kaneko An Approximation Algorithm for Multiprocessor Scheduling of Trees with Communication Delays. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Satoshi Fujita, Hui Zhou Multiprocessor Scheduling Problem with Probabilistic Execution Costs. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Masaki Wakabayashi, Hideharu Amano Environment for Multiprocessor Simulator Development. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Xavier Verians, Jean-Didier Legat, Jean-Jacques Quisquater, Benoît Macq A Graph-Oriented Task Manager for Small Multiprocessor Systems. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallelism, synchronization, multiprocessors, dependence graph
15Kanad Ghose, Sudhir Aggarwal, Abhrajit Ghosh, David Goldman, Peter Sulatycke, Pavel Vasek, David R. Vogel Designing Multiprocessor/Distributed Real-Time Systems Using the ASSERTS Toolkit. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Evripidis Bampis, Rodolphe Giroudeau, Jean-Claude König Using Duplication for the Multiprocessor Scheduling Problem with Hierarchical Communications. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Claude Limousin, Alexis Vartanian, Jean-Luc Béchennec PopSPY: A PowerPC Instrumentation Tool for Multiprocessor Simulation. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Ricardo C. Corrêa, Afonso Ferreira A Polynomial-Time Branching Procedure for the Multiprocessor Scheduling Problem. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Tony Lowe Extending Ada to assist multiprocessor embedded development. Search on Bibsonomy SIGAda The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Guy E. Blelloch, Perry Cheng On Bounding Time and Space for Multiprocessor Garbage Collection. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Hans-Joachim Stolberg, Martin Ohmacht, Peter Pirsch Cellular Multiprocessor Arrays with Adaptive Resource Utilization. Search on Bibsonomy ACPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Oscar Roberto López-Bonilla Task Partitioning Utilizing Available Time on Multiprocessor Systems for a Given Schedule. Search on Bibsonomy IWCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Fritz Ferstl Global Resource Director (GRD): Customer Scenarios for Large Multiprocessor Environments. Search on Bibsonomy IWCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Mourad Rabah, Karama Kanoun Dependability Evaluation of a Distributed Shared Memory Multiprocessor System. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Philippas Tsigas, Yi Zhang 0004 Non-Blocking Data Sharing in Multiprocessor Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Jing Chen, Alan Burns 0001 Loop-Free Asynchronous Data Sharing in Multiprocessor Real-Time Systems Based on Timing Properties. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Data sharing mechanism, Asynchronous data sharing, Wait-free, Lock-free, Loop-free
Displaying result #901 - #1000 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license