|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 21751 occurrences of 7437 keywords
|
|
|
Results
Found 50778 publication records. Showing 50778 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
15 | Wei-Jin Dai, Michel Courtoy |
Hierarchical Front-End Physical Design Solution Drives Modified Hand-Off (invited). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002, pp. 529-533, 2002, IEEE Computer Society, 0-7695-1561-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Blaise Gassend, Dwaine E. Clarke, Marten van Dijk, Srinivas Devadas |
Controlled Physical Random Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSAC ![In: 18th Annual Computer Security Applications Conference (ACSAC 2002), 9-13 December 2002, Las Vegas, NV, USA, pp. 149-160, 2002, IEEE Computer Society, 0-7695-1828-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Thomas Pederson |
Magic Touch: A Simple Object Location Tracking System Enabling the Development of Physical-Virtual Artefacts in Office Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pers. Ubiquitous Comput. ![In: Pers. Ubiquitous Comput. 5(1), pp. 54-57, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Knowledge work support, Augmented reality, Context awareness, Wearable computers, Situated interaction, Graspable user interfaces |
15 | Salil Pradhan, Cyril Brignone, Jun-Hong Cui, Alan A. McReynolds, Mark T. Smith |
Websigns: Hyperlinking Physical Locations to the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 34(8), pp. 42-48, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Mineo Takai, Jay Martin, Rajive L. Bagrodia |
Effects of wireless physical layer modeling in mobile ad hoc networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 2nd ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2001, October 4-5, 2001, Long Beach, CA, USA, pp. 87-94, 2001, ACM, 1-58113-428-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Bran Selic |
Physical Programming: Beyond Mere Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FASE ![In: Fundamental Approaches to Software Engineering, 4th International Conference, FASE 2001 Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2001 Genova, Italy, April 2-6, 2001, Proceedings, pp. 1, 2001, Springer, 3-540-41863-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Fernando G. Gonzalez, Alicia Helton, Douglas Helton, Jeffrey S. Smith, Eileen Thompson, Gerry Walterscheild |
The design of a solid-state physical model of an automated system to be used as a test bed for control applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 32nd conference on Winter simulation, WSC 2000, Wyndham Palace Resort & Spa, Orlando, FL, USA, December 10-13, 2000, pp. 900-908, 2000, WSC, 0-7803-6582-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
15 | Josep Lluís de la Rosa, Israel Muñoz, Bianca Innocenti, Albert Figueras, Miquel Montaner, Josep Antoni Ramon |
Preliminary Studies of Dynamics of Physical Agent Ecosystems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RoboCup ![In: RoboCup 2000: Robot Soccer World Cup IV, pp. 373-378, 2000, Springer, 3-540-42185-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
15 | Huimin Xia, Khaldoun Bataineh, Marwan Hassoun, Joe Kryzak |
A mixed-signal behavioral level implementation of 1000BASE-X physical layer for gigabit Ethernet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 431-434, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
15 | Nicola Aloia, Svetlana Barneva, Fausto Rabitti |
Supporting Physical Independence in an Object Database Server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP '92, European Conference on Object-Oriented Programming, Utrecht, The Netherlands, June 29 - July 3, 1992, Proceedings, pp. 396-412, 1992, Springer, 3-540-55668-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
15 | R. Sadananda, Nizam Uddin Ahmed |
Towards conceptualisation of physical object propositions (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the 15th ACM Annual Conference on Computer Science, St. Louis, Missouri, USA, February 16-19, 1987, pp. 413, 1987, ACM, 0-89791-218-7. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
15 | Antony I. T. Rowstron |
What Is in a Namespace? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings, pp. 4, 2009, Springer, 978-3-642-03868-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
15 | Jean-Yves Le Boudec, Ruben Merz |
Concurrent and parallel transmissions are optimal for low data-rate IR-UWB networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PIMRC ![In: Proceedings of the IEEE 19th International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 2008, 15-18 September 2008, Cannes, French Riviera, France, pp. 1-6, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Hyung Won Choi, Hukeun Kwak, Andrew Sohn, Kyusik Chung |
Autonomous learning for efficient resource utilization of dynamic VM migration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 22nd Annual International Conference on Supercomputing, ICS 2008, Island of Kos, Greece, June 7-12, 2008, pp. 185-194, 2008, ACM, 978-1-60558-158-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
OS migration, virtual machines, virtualization, resource utilization, linux cluster |
15 | Jia Tang, Xi Zhang 0005 |
Cross-layer modeling for quality of service guarantees over wireless links. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 6(12), pp. 4504-4512, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Guanglei Liu, Chuanyi Ji |
Resilience of all-optical network architectures under in-band crosstalk attacks: a probabilistic graphical model approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 25(S-3), pp. 2-17, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Isaac Z. Foster, Douglas A. Hanes, Neal H. Barmack, Gin McCollum |
Spatial symmetries in vestibular projections to the uvula-nodulus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 96(4), pp. 439-453, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Ye Wen, Wei Zhang 0118, Richard Wolski, Navraj Chohan |
Simulation-based augmented reality for sensor network development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 5th International Conference on Embedded Networked Sensor Systems, SenSys 2007, Sydney, NSW, Australia, November 6-9, 2007, pp. 275-288, 2007, ACM, 978-1-59593-763-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
simulation, sensor network, debugging |
15 | Khaled Ragab |
Multicast Overlay Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web Intelligence/IAT Workshops ![In: Proceedings of the 2007 IEEE/WIC/ACM International Conference on Web Intelligence and International Conference on Intelligent Agent Technology - Workshops, 2-5 November 2007, Silicon Valley, CA, USA, pp. 407-410, 2007, IEEE Computer Society, 0-7695-3028-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Tina Eliassi-Rad, Terence Critchlow |
A hybrid approach for multiresolution modeling of large-scale scientific data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2005 ACM Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005, pp. 511-518, 2005, ACM, 1-58113-964-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
large-scale scientific data sets, multiresolution indices, multivariate clusters, information retrieval, topological models |
15 | Elisabeth André, Klaus Dorfmüller-Ulhaas, Matthias Rehm |
Engaging in a Conversation with Synthetic Characters Along the Virtuality Continuum. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Smart Graphics ![In: Smart Graphics, 5th International Symposium, SG 2005, Frauenwörth Cloister, Germany, August 22-24, 2005, Proceedings, pp. 1-12, 2005, Springer, 3-540-28179-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Matthew Simpson, Stephen Viller |
Observing Architectural Design: Improving the Development of Collaborative Design Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDVE ![In: Cooperative Design, Visualization, and Engineering, First International Conference, CDVE 2004, Palma de Mallorca, Spain, September 19-22, 2004, Proceedings, pp. 12-20, 2004, Springer, 3-540-23149-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Antonio Coronato, Giuseppe De Pietro |
Location and Tracking Services for a Meta-UbiComp Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Metainformatics ![In: Metainformatics, International Symposium, MIS 2004, Salzburg, Austria, September 15-18, 2004, Revised Selected Papers, pp. 179-191, 2004, Springer, 3-540-27328-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Paul Couderc, Michel Banâtre |
Ambient computing applications: an experience with the SPREAD approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 36th Hawaii International Conference on System Sciences (HICSS-36 2003), CD-ROM / Abstracts Proceedings, January 6-9, 2003, Big Island, HI, USA, pp. 291, 2003, IEEE Computer Society, 0-7695-1874-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Adrian David Cheok, Xubo Yang, Zhiying Zhou, Mark Billinghurst, Hirokazu Kato 0001 |
Touch-Space: Mixed Reality Game Space Based on Ubiquitous, Tangible, and Social Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pers. Ubiquitous Comput. ![In: Pers. Ubiquitous Comput. 6(5/6), pp. 430-442, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Embodied computing, Game space, Ubiquitous computing, Mixed reality, Social computing, Tangible interaction |
15 | Boriana Koleva, Holger Schnädelbach, Steve Benford, Chris Greenhalgh |
Experiencing a presentation through a mixed reality boundary. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GROUP ![In: Proceedings of GROUP 2001, ACM 2001 International Conference on Supporting Group Work, September 30 - October 3, 2001, Boulder, Colorado, USA, pp. 71-80, 2001, ACM. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
distributed presentations, mixed reality boundaries, spatial integration, awareness |
15 | Paul A. Boxer |
Towards Learning Naive Physics by Visual Observation: Qualitative Spatial Representations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Joint Conference on Artificial Intelligence ![In: AI 2001: Advances in Artificial Intelligence, 14th Australian Joint Conference on Artificial Intelligence, Adelaide, Australia, December 10-14, 2001, Proceedings, pp. 62-70, 2001, Springer, 3-540-42960-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Manuel Román, Roy H. Campbell |
Gaia: enabling active spaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS European Workshop ![In: Proceedings of the 9th ACM SIGOPS European Workshop, Kolding, Denmark, September 17-20, 2000, pp. 229-234, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
15 | Soma Chaudhuri, Jennifer L. Welch |
Bounds on the Costs of Register Implementations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WDAG ![In: Distributed Algorithms, 4th International Workshop, WDAG '90, Bari, Italy, September 24-26, 1990, Proceedings, pp. 402-421, 1990, Springer, 3-540-54099-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
15 | Bing Zhang, Edward Grant |
Experiments in Adaptive Rule-Based Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE (Vol. 1) ![In: Proceedings of the Third International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, IEA/AIE 1990, July 15-18, 1990, The Mills House Hotel, Charleston, SC, USA - Volume 1, pp. 563-568, 1990, ACM, 0-89791-372-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
15 | William Alexander, George P. Copeland |
Comparison of Dataflow Control Techniques In Distributed Data-Intensive Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1988 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Fe, New Mexico, USA, May 24-27, 1988, pp. 157-166, 1988, ACM, 0-89791-254-3. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
15 | Ronald T. House |
Thoughts on "Extended Pascal - Illustrative Examples". ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 22(8), pp. 33-38, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
Pascal |
15 | David A. Papa, Charles J. Alpert, Cliff C. N. Sze, Zhuo Li 0001, Natarajan Viswanathan, Gi-Joon Nam, Igor L. Markov |
Physical Synthesis with Clock-Network Optimization for Large Systems on Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 31(4), pp. 51-62, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
systems on chips, physical synthesis |
15 | Zhong Liu, Dongsheng Yang 0005, Ding Wen, Weiming Zhang 0003, Wenji Mao |
Cyber-Physical-Social Systems for Command and Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Intell. Syst. ![In: IEEE Intell. Syst. 26(4), pp. 92-96, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
cyber-physical-social systems, chaotic control, intelligent systems, command and control, self-synchronization |
15 | Abhranil Maiti, Logan McDougall, Patrick Schaumont |
The Impact of Aging on an FPGA-Based Physical Unclonable Function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: International Conference on Field Programmable Logic and Applications, FPL 2011, September 5-7, Chania, Crete, Greece, pp. 151-156, 2011, IEEE Computer Society, 978-1-4577-1484-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
FPGA, aging, Physical Unclonable Function |
15 | Wenjia Li, Pramod Jagtap, Rosa Laura Zavala Gutierrez, Anupam Joshi, Tim Finin |
CARE-CPS: Context-Aware Trust Evaluation for Wireless Networks in Cyber-Physical System Using Policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POLICY ![In: POLICY 2011, IEEE International Symposium on Policies for Distributed Systems and Networks, Pisa, Italy, 6-8 June 2011, pp. 171-172, 2011, IEEE Computer Society, 978-1-4244-9879-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
security, context-awareness, trust, policy, Cyber-Physical System |
15 | Lichen Zhang |
Formal Specification for Real Time Cyber Physical Systems Using Aspect-Oriented Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TASE ![In: 5th IEEE International Symposium on Theoretical Aspects of Software Engineering, TASE 2011, Xi'an, China, 29-31 August 2011, pp. 213-216, 2011, IEEE Computer Society, 978-1-4577-1487-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Real Time, Formal Method, Aspect-oriented, Object-Z, Cyber Physical Systems, Timed-CSP |
15 | Meng-Day (Mandel) Yu, Srinivas Devadas |
Secure and Robust Error Correction for Physical Unclonable Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 27(1), pp. 48-65, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
index-based syndrome coding, syndrome coding, error-correcting code, ECC, error correction, design and test, physical unclonable function, key generation |
15 | Yoshiharu Kato |
Splish: A Visual Programming Environment for Arduino to Accelerate Physical Computing Experiences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
C5 ![In: The Eighth International Conference on Creating, Connecting and Collaborating through Computing, C5 2010, La Jolla, CA, USA, 25-28 January 2010, pp. 3-10, 2010, IEEE Computer Society, 978-0-7695-4029-0. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
interaction, education, component, visual programming, microcontroller, physical computing, Arduino |
15 | Samson Abramsky |
Coalgebras, Chu Spaces, and Representations of Physical Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LICS ![In: Proceedings of the 25th Annual IEEE Symposium on Logic in Computer Science, LICS 2010, 11-14 July 2010, Edinburgh, United Kingdom, pp. 411-420, 2010, IEEE Computer Society, 978-0-7695-4114-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Chu spaces, representation of physical systems, categories, coalgebra, quantum mechanics |
15 | K. H. (Kane) Kim |
Desirable Advances in Cyber-Physical System Software Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SUTC/UMC ![In: IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing, SUTC 2010 and IEEE International Workshop on Ubiquitous and Mobile Computing, UMC 2010, 7-9 June 2010, Newport Beach, California, USA, pp. 2-4, 2010, IEEE Computer Society, 978-0-7695-4049-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
TUP, high-level programming, network-based, security, fault tolerance, software engineering, embedded, TMO, timeliness, Cyber-physical system, CPS, global time |
15 | Wei Jiang, Wensheng Guo, Nan Sang |
Periodic Real-Time Message Scheduling for Confidentiality-Aware Cyber-Physical System in Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCST ![In: Fifth International Conference on Frontier of Computer Science and Technology, FCST 2010, Changchun, Jilin Province, China, August 18-22, 2010, pp. 355-360, 2010, IEEE Computer Society, 978-0-7695-4139-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Security-critical Cyber-Physical System, Confidentiality-aware, Periodic Message scheduling, Wireless networks |
15 | Julien Cisonni, Shigeo Wada, Kazunori Nozaki, Annemie Van Hirtum |
Towards Sibilant [s] Physical Modeling: Numerical Study of the Influence of the Aperture of a Tooth-Shaped Constriction on the Flow-Induced Noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT ![In: Tenth Annual International Symposium on Applications and the Internet, SAINT 2010, Seoul, Korea, 19-23 July, 2010, Proceedings, pp. 351-354, 2010, IEEE Computer Society, 978-1-4244-7526-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Sibilant, flow-induced noise, physical modeling, Large-Eddy Simulation |
15 | Karthik Lakshmanan, Dionisio de Niz, Ragunathan Rajkumar, Gabriel A. Moreno |
Resource Allocation in Distributed Mixed-Criticality Cyber-Physical Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 2010 International Conference on Distributed Computing Systems, ICDCS 2010, Genova, Italy, June 21-25, 2010, pp. 169-178, 2010, IEEE Computer Society, 978-0-7695-4059-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
mixed criticality, distributed systems, real time systems, cyber-physical systems, radar |
15 | Fangfang Li, Dejun Yue, Chuanwen Li |
A Query Processing Approach Based on Queuing Model for Cyber-Physical Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APWeb ![In: Advances in Web Technologies and Applications, Proceedings of the 12th Asia-Pacific Web Conference, APWeb 2010, Busan, Korea, 6-8 April 2010, pp. 291-297, 2010, IEEE Computer Society, 978-0-7695-4012-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
wireless sensor networks, query processing, queuing model, cyber-physical system |
15 | Jackey Z. Yan, Chris Chu, Wai-Kei Mak |
SafeChoice: a novel clustering algorithm for wirelength-driven placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 185-192, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
vlsi placement, physical design, hypergraph clustering |
15 | Gaurav Ajwani, Chris Chu, Wai-Kei Mak |
FOARS: FLUTE based obstacle-avoiding rectilinear steiner tree construction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 27-34, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
RSMT, spanning graph, routing, physical design |
15 | Cliff C. N. Sze |
ISPD 2010 high performance clock network synthesis contest: benchmark suite and results. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 143, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
VLSI, benchmarks, physical design, clock network synthesis |
15 | Anh Dinh, Daniel Teng, Li Chen, Yang Shi, Carl McCrosky, Jenny Basran, Vanina Del Bello-Hass |
Implementation of a Physical Activity Monitoring System for the Elderly People with Built-in Vital Sign and Fall Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Sixth International Conference on Information Technology: New Generations, ITNG 2009, Las Vegas, Nevada, USA, 27-29 April 2009, pp. 1226-1231, 2009, IEEE Computer Society, 978-0-7695-3596-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
physical activity monitoring, home telecare, wireless sensor network, accelerometer |
15 | Ding Lin, Chongcheng Chen, Liyu Tang, Qinmin Wang, Wenqiang Xu |
Interactive Physical Based Animation of Tree Swaying in Wind. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD ![In: 10th ACIS International Conference on Software Engineering, Artificial Intelligences, Networking and Parallel/Distributed Computing, SNPD 2009, in conjunction with 3rd International Workshop on e-Activity, IWEA 2009, 1st International Workshop on Enterprise Architecture Challenges and Responses, WEACR 2009, Catholic University of Daegu, Daegu, Korea, 27-29 May 2009, pp. 623-628, 2009, IEEE Computer Society, 978-0-7695-3642-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Tree swaying, animation, physical based modeling |
15 | Christoph Bartoschek, Stephan Held, Dieter Rautenbach, Jens Vygen |
Fast buffering for optimizing worst slack and resource consumption in repeater trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 43-50, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
interconnect buffering, repeater tree, physical design, repeater insertion, timing closure |
15 | Anirudh Devgan, Bulent Basaran, David Colleran, Mar Hershenson |
Accelerated design of analog, mixed-signal circuits in Titan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 67-72, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
analog circuit layout, analog/digital, custom design, layout, physical design, analog circuits, mixed-signal circuits |
15 | Wan-Ping Lee, Diana Marculescu, Yao-Wen Chang |
Post-floorplanning power/ground ring synthesis for multiple-supply-voltage designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 5-12, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multiple-supply voltage designs, physical design, floorplanning, vlsi |
15 | Lisa M. Tolentino, Aisling Kelliher, David Birchfield, Rebecca P. Stern |
Creativity interventions: physical-digital activities for promoting group creativity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, CHI 2008, Florence, Italy, April 5-10, 2008, pp. 2841-2846, 2008, ACM, 978-1-60558-012-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
collaborative performance, creativity intervention, physical-digital activity, play |
15 | Steve Golson, Pete Churchill |
Flow engineering for physical implementation: theory and practice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 1, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
EDA interoperability, design methodology, physical design, integrated circuit design, flow |
15 | Chih-Hung Liu 0001, Yao-Hsin Chou, Shih-Yi Yuan, Sy-Yen Kuo |
Efficient multilayer routing based on obstacle-avoiding preferred direction steiner tree. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 118-125, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
routing, spanning tree, physical design, steiner tree |
15 | Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang |
Metal-density driven placement for cmp variation and routability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 31-38, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
VLSI, placement, physical design, manufacturability |
15 | Beom Suk Jin, Sang Min Ko, Jae Seung Mun, Yong Gu Ji |
A Study for Usability Risk Level in Physical User Interface of Mobile Phone. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (10) ![In: Usability and Internationalization. HCI and Culture, Second International Conference on Usability and Internationalization, UI-HCII 2007, Held as Part of HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part I, pp. 327-335, 2007, Springer, 978-3-540-73286-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Risk Level, Mobile Phone, Physical User Interface |
15 | Ladjel Bellatreche, Kamel Boukhalfa, Mukesh K. Mohania |
Pruning Search Space of Physical Database Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA ![In: Database and Expert Systems Applications, 18th International Conference, DEXA 2007, Regensburg, Germany, September 3-7, 2007, Proceedings, pp. 479-488, 2007, Springer, 978-3-540-74467-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Bitmap join index, Physical design, data partitioning |
15 | Yu Uchida, Mami Naito, Shiho Hirayama |
"Kage no Sekai": interactive animation of shadow based on physical action. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advances in Computer Entertainment Technology ![In: Proceedings of the International Conference on Advances in Computer Entertainment Technology, ACE 2007, Salzburg, Austria, June 13-15, 2007, pp. 274-275, 2007, ACM, 978-1-59593-640-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
AR(augumented reality), image-recognition technique, physical action, shadow, interactive animation |
15 | Mads Vedel Jensen |
A physical approach to tangible interaction design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TEI ![In: Proceedings of the 1st International Conference on Tangible and Embedded Interaction 2007, Baton Rouge, Louisiana, USA, February 15-17, 2007, pp. 241-244, 2007, ACM, 978-1-59593-619-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
interaction qualities, physical metaphor, video action wall, tangible interaction, physicality |
15 | Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang |
Efficient obstacle-avoiding rectilinear steiner tree construction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 127-134, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
routing, spanning tree, physical design, Steiner tree |
15 | Shiyan Hu, Jiang Hu |
Pattern sensitive placement for manufacturability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 27-34, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
placement, physical design, manufacturability |
15 | Tung-Chieh Chen, Yi-Lin Chuang, Yao-Wen Chang |
X-architecture placement based on effective wire models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 87-94, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
X architecture, partitioning, placement, physical design, Steiner tree, min cut, net weighting |
15 | Jiazhi Ou, Yanxin Shi, Jeffrey Wong, Susan R. Fussell, Jie Yang 0001 |
Combining audio and video to predict helpers' focus of attention in multiparty remote collaboration on physical tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMI ![In: Proceedings of the 8th International Conference on Multimodal Interfaces, ICMI 2006, Banff, Alberta, Canada, November 2-4, 2006, pp. 217-224, 2006, ACM, 1-59593-541-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
remote collaborative physical tasks, computer-supported cooperative work, multimodal integration, focus of attention |
15 | Krzysztof Z. Gajos, Jing Jing Long, Daniel S. Weld |
Automatically generating custom user interfaces for users with physical disabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASSETS ![In: Proceedings of the 8th International ACM SIGACCESS Conference on Computers and Accessibility, ASSETS 2006, Portland, Oregon, USA, October 23-25, 2006, pp. 243-244, 2006, ACM, 1-59593-290-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
arnauld, automatic UI generation, optimization, physical disabilities, supple |
15 | Björn Hartmann, Scott R. Klemmer, Michael S. Bernstein, Leith Abdulla, Brandon Burr, Avi Robinson-Mosher, Jennifer Gee |
Reflective physical prototyping through integrated design, test, and analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIST ![In: Proceedings of the 19th Annual ACM Symposium on User Interface Software and Technology, Montreux, Switzerland, October 15-18, 2006, pp. 299-308, 2006, ACM, 1-59593-313-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
integrating physical & digital, prototyping, toolkits, design tools, information appliances, design thinking |
15 | Zhe-Wei Jiang, Tung-Chieh Chen, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang |
NTUplace2: a hybrid placer using partitioning and analytical techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 215-217, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
placement, physical design, legalization |
15 | Jianhua Li, Laleh Behjat |
Net cluster: a net-reduction based clustering preprocessing algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 200-205, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
VLSI partitioning, physical design, hypergraph clustering |
15 | Jarrod A. Roy, James F. Lu, Igor L. Markov |
Seeing the forest and the trees: Steiner wirelength optimization in placemen. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 78-85, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
routing, placement, physical design, Steiner tree |
15 | Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi, Maogang Wang, Majid Sarrafzadeh |
Dragon2006: blockage-aware congestion-controlling mixed-size placer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 209-211, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
placement, physical design |
15 | Jarrod A. Roy, David A. Papa, Aaron N. Ng, Igor L. Markov |
Satisfying whitespace requirements in top-down placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 206-208, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
placement, physical design, floorplanning |
15 | Anand Rajaram, David Z. Pan |
Variation tolerant buffered clock network synthesis with cross links. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 157-164, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
non-tree clocks, physical design, VLSI CAD, clock network |
15 | Ron Wakkary, Marek Hatala, Robb Lovell, Milena Droumeva |
An ambient intelligence platform for physical play. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 13th ACM International Conference on Multimedia, Singapore, November 6-11, 2005, pp. 764-773, 2005, ACM, 1-59593-044-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
sound ecology, user model, motion capture, ambient intelligence, embodied, auditory display, puzzles, responsive environment, physical play |
15 | Deshanand P. Singh, Valavan Manohararajah, Stephen Dean Brown |
Incremental retiming for FPGA physical synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 433-438, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
FPGA, retiming, physical synthesis |
15 | Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Charles J. Alpert, Sani R. Nassif |
An efficient surface-based low-power buffer insertion algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 86-93, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low-power design, buffer insertion, physical synthesis |
15 | Anand Rajaram, David Z. Pan, Jiang Hu |
Improved algorithms for link-based non-tree clock networks for skew variability reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 55-62, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
non-tree clocks, physical design, VLSI CAD, clock network |
15 | Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi |
Dragon2005: large-scale mixed-size placement tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 245-247, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
placement, physical design |
15 | Jarrod A. Roy, David A. Papa, Saurabh N. Adya, Hayward H. Chan, Aaron N. Ng, James F. Lu, Igor L. Markov |
Capo: robust and scalable open-source min-cut floorplacer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 224-226, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
placement, physical design, floorplanning |
15 | Yu Zhang 0075, Edmond C. Prakash, Eric Sung |
A New Physical Model with Multilayer Architecture for Facial Expression Animation Using Dynamic Adaptive Mesh. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 10(3), pp. 339-352, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Facial expression animation, multilayer structure, dynamic deformation, physical model, adaptive mesh |
15 | Hiroyasu Ichida, Yuichi Itoh, Yoshifumi Kitamura, Fumio Kishino |
Interactive retrieval of 3D shape models using physical objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 12th ACM International Conference on Multimedia, New York, NY, USA, October 10-16, 2004, pp. 692-699, 2004, ACM, 1-58113-893-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
3D shape model, Voxel data, retrieval system, physical object |
15 | Charles J. Alpert, Milos Hrkic, Stephen T. Quay |
A fast algorithm for identifying good buffer insertion candidate locations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004, pp. 47-52, 2004, ACM, 1-58113-817-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
planning, global routing, buffer insertion, physical synthesis |
15 | Tsung-Yi Ho, Yao-Wen Chang, Sao-Jie Chen |
Multilevel routing with antenna avoidance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004, pp. 34-40, 2004, ACM, 1-58113-817-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
nanometer, process antenna effect, routing, physical design, design for manufacturability (DFM), multilevel optimization |
15 | Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas |
Bounding the efforts on congestion optimization for physical synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, Washington, DC, USA, April 28-29, 2003, pp. 7-10, 2003, ACM, 1-58113-677-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
optimization, logic synthesis, physical design, technology mapping, routing congestion |
15 | Hardy Kwok-Shing Leung |
Advanced routing in changing technology landscape. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003, pp. 118-121, 2003, ACM, 1-58113-650-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
routing, physical design, manufacturability, design rules |
15 | Bing Lu, Jiang Hu, Gary Ellis, Haihua Su |
Process variation aware clock tree routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003, pp. 174-181, 2003, ACM, 1-58113-650-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
VLSI, interconnect, physical design, clock tree synthesis |
15 | Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh |
Routability driven white space allocation for fixed-die standard-cell placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of 2002 International Symposium on Physical Design, ISPD 2002, Del Mar, CA, USA, April 7-10, 2002, pp. 42-47, 2002, ACM, 1-58113-460-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
placement, physical design, routability |
15 | Florin Isaila, Walter F. Tichy |
Clusterfile: A Flexible Physical Layout Parallel File System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2001 IEEE International Conference on Cluster Computing (CLUSTER 2001), 8-11 October 2001, Newport Beach, CA, USA, pp. 37-, 2001, IEEE Computer Society, 0-7695-1116-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
file physical and logical partitioning, array regular distributions, parallel I/O |
15 | David R. Nadeau, Michael J. Bailey |
Visualizing volume data using physical models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Visualization ![In: 11th IEEE Visualization Conference, IEEE Vis 2000, Salt Lake City, UT, USA, October 8-13, 2000, Proceedings, pp. 497-500, 2000, IEEE Computer Society and ACM, 0-7803-6478-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
volume visualization, physical models, scene graphs, volume graphics |
15 | Jun Rekimoto, Eduardo Sciammarella |
ToolStone: effective use of the physical manipulation vocabularies of input devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIST ![In: Proceedings of the 13th Annual ACM Symposium on User Interface Software and Technology, UIST 2000, San Diego, California, USA, November 6-8, 2000, pp. 109-117, 2000, ACM, 1-58113-212-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
multiple function inputs, multiple-degree-of-freedom input, interaction techniques, input devices, two-handed input, physical user interfaces |
15 | Peter Ljungstrand, Johan Redström, Lars Erik Holmquist |
WebStickers: using physical tokens to access, manage and share bookmarks to the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Designing Augmented Reality Environments ![In: Proceedings of DARE 2000, Designing Augmented Reality Environments, Elsinore, Denmark, 12-14 April 2000., pp. 23-31, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
bookmark management, physical tokens, Internet, world wide Web, world wide web, tangible interfaces, barcodes, information workspaces |
15 | Thomas Christof, John D. Kececioglu |
Computing physical maps of chromosomes with nonoverlapping probes by branch-and-cut. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RECOMB ![In: Proceedings of the Third Annual International Conference on Research in Computational Molecular Biology, RECOMB 1999, Lyon, France, April 11-14, 1999, pp. 115-123, 1999, ACM, 1-58113-069-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
physical mapping of chromosomes, computational biology, branch-and-cut, consecutive ones property |
15 | Stéphan Jourdan, Ronny Ronen, Michael Bekerman, Bishara Shomar, Adi Yoaz |
A Novel Renaming Scheme to Exploit Value Temporal Locality Through Physical Register Reuse and Unification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 31st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 31, Dallas, Texas, USA, November 30 - December 2, 1998, pp. 216-225, 1998, ACM/IEEE Computer Society, 0-8186-8609-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
dependency redirection, physical register reuse, register and memory renaming, result reuse, value temporal locality |
15 | Brygg Ullmer, Hiroshi Ishii 0001, Dylan F. Glas |
mediaBlocks: Physical Containers, Transports, and Controls for Online Media. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 25th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1998, Orlando, FL, USA, July 19-24, 1998, pp. 379-386, 1998, ACM, 0-89791-999-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
phicons, physical constraints, ubiquitous computing, tangible user interface, tangible bits |
15 | June Sung Park, Varadharajan Sridhar |
Probabilistic Model and Optimal Reorganization of B+-Tree with Physical Clustering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 9(5), pp. 826-832, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
dynamic indexed files, physical database, VSAM, stochastic model of file dynamics, file reorganization, simulation, order statistics, B+-tree |
15 | Matthew G. Gorbet, Maggie Orth |
Triangles: Design of a Physical/Digital Construction Kit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Designing Interactive Systems ![In: Proceedings of the 2nd Conference on Designing Interactive Systems: Processes, Practices, Methods and Techniques, DIS 1997, Grand Hotel Krasnapolsky, Amsterdam, the Netherlands, August 18-20, 1997, pp. 125-128, 1997, ACM, 0-89791-863-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
digital connector, collaboration, connections, interface design, physical interface |
15 | Alberto Caprara, Matteo Fischetti, Dario Maio |
Exact and Approximate Algorithms for the Index Selection Problem in Physical Database Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 7(6), pp. 955-967, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Index selection problem, 0-1 integer linear programming, relational database, heuristic algorithm, branch-and-bound algorithm, physical database design |
15 | Marcelino B. Santos, M. Simões, Isabel C. Teixeira, João Paulo Teixeira 0001 |
Test preparation for high coverage of physical defects in CMOS digital ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 330-337, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
high defect coverage, CMOS digital ICs, pseudo realistic faults generation, test quality assessment, tabloid, iceTgen, I/sub DDQ/ test generation, test preparation, logic testing, integrated circuit testing, automatic testing, CMOS logic circuits, CMOS digital integrated circuits, physical defects |
15 | Haim Kaplan, Ron Shamir, Robert Endre Tarjan |
Tractability of parameterized completion problems on chordal and interval graphs: Minimum Fill-in and Physical Mapping ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOCS ![In: 35th Annual Symposium on Foundations of Computer Science, Santa Fe, New Mexico, USA, 20-22 November 1994, pp. 780-791, 1994, IEEE Computer Society, 0-8186-6580-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
added edges, parameterized completion problems, minimum fill-in, NP-Hard graph completion problem, interval graphs, parameterized complexity, tractability, vertex coloring, physical mapping |
15 | Sunil Choenni, Henk M. Blanken, Thiel Chang |
On the Automation of Physical Database Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 1993 ACM/SIGAPP Symposium on Applied Computing: States of the Art and Practice, SAC'93, Indianapolis, IN, USA, February 14-16, 1993, pp. 358-367, 1993, ACM, 0-89791-567-4. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
generating storage schemes, modeling rules of thumb, Dempster-Shafer theory, physical database design |
|
|