The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
8David Wentzlaff, Anant Agarwal A Quantitative Comparison of Reconfigurable, Tiled, and Conventional Architectures on Bit-Level Computation. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Yongxiang Liu, Anahita Shayesteh, Gokhan Memik, Glenn Reinman Scaling the issue window with look-ahead latency prediction. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LHT, MNM, SILO, instruction sorting, CLP
8Zhenyu Liu, Jiayue Qi A Novel Rename Register Architecture and Performance Analysis. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Zhigang Hu, Alper Buyuktosunoglu, Viji Srinivasan, Victor V. Zyuban, Hans M. Jacobson, Pradip Bose Microarchitectural techniques for power gating of execution units. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF execution units, low power, microarchitecture, power-gating
8Yong-Joon Park, Gyungho Lee Repairing return address stack for buffer overflow protection. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer architecture, computer security, buffer overflow, intrusion tolerance
8Pedro Chaparro, José González 0002, Antonio González 0001 Thermal-Aware Clustered Microarchitectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Oguz Ergin, Deniz Balkan, Dmitry V. Ponomarev, Kanad Ghose Increasing Processor Performance Through Early Register Release. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Sriram Nadathur, Akhilesh Tyagi IPC Driven Dynamic Associative Cache Architecture for Low Energy. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Patrick Carribault, Christophe Lemuet, Jean-Thomas Acquaviva, Albert Cohen 0001, William Jalby Branch Strategies to Optimize Decision Trees for Wide-Issue Architectures. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Rama Sangireddy Register Organization for Enhanced On-Chip Parallelism. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Jongmyon Kim, D. Scott Wills Efficient Processing of Color Image Sequences Using a Color-Aware Instruction Set on Mobile Systems. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Deniz Balkan, John Kalamatianos, David R. Kaeli A Study of Errant Pipeline Flushes Caused by Value Misspeculation. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Amaury Darsch, André Seznec IATO: A Flexible EPIC Simulation Environment. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Christian Tenllado, Carlos García 0001, Manuel Prieto 0001, Luis Piñuel, Francisco Tirado Exploiting Multilevel Parallelism Within Modern Microprocessors: DWT as a Case Study. Search on Bibsonomy VECPAR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Bogong Su, Jian Wang 0046, Erh-Wen Hu, Joseph B. Manzano Software De-Pipelining Technique. Search on Bibsonomy SCAM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Sami Yehia, Olivier Temam From Sequences of Dependent Instructions to Functions: An Approach for Improving Performance without ILP or Speculation. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Lieven Eeckhout, Robert H. Bell Jr., Bastiaan Stougie, Koen De Bosschere, Lizy Kurian John Control Flow Modeling in Statistical Simulation for Accurate and Efficient Processor Design Studies. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Michael L. Behm, John M. Ludden, Yossi Lichtenstein, Michal Rimon, Michael Vinov Industrial experience with test generation languages for processor verification. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test generation, functional verification, processor verification
8Jack B. Dennis Fresh Breeze: a multiprocessor chip architecture guided by modular programming principles. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Christoforos E. Kozyrakis, David A. Patterson 0001 Scalable Vector Processors for Embedded Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Nathalie Julien, Johann Laurent, Eric Senn, Eric Martin 0001 Power Consumption Modeling and Characterization of the TI C6201. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Chua-Chin Wang, Po-Ming Lee, Jun-Jie Wang, Chenn-Jung Huang Design of a cycle-efficient 64-b/32-b integer divisor using a table-sharing algorithm. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Alan Mycroft, Richard Sharp Higher-level techniques for hardware description and synthesis. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Static allocation, Behavioural hardware description, High-level synthesis, Functional languages, Hardware/software co-design
8Giorgio Zoia, Claudio Alberti A virtual DSP architecture for audio applications from a complexity analysis of MPEG-4 structured audio. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria A Flexible Framework for Fast Multi-objective Design Space Exploration of Embedded Systems. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Yu Bai 0001, R. Iris Bahar A Dynamically Reconfigurable Mixed In-Order/Out-of-Order Issue Queue for Power-Aware Microprocessors. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Wei Qin, Sharad Malik Flexible and Formal Modeling of Microprocessors with Application to Retargetable Simulation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Steven Swanson, Ken Michelson, Andrew Schwerin, Mark Oskin WaveScalar. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Se-Hyun Yang, Babak Falsafi Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Hai Li 0001, Swarup Bhunia, Yiran Chen 0001, T. N. Vijaykumar, Kaushik Roy 0001 Deterministic Clock Gating for Microprocessor Power Reduction. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Michael Bedford Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architecture. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Jaeheon Jeong, Michel Dubois 0001 Cost-Sensitive Cache Replacement Algorithms. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Ali El-Moursy, David H. Albonesi Front-End Policies for Improved Issue Efficiency in SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Seong-Won Lee, Jean-Luc Gaudiot Clustered Microarchitecture Simultaneous Multithreading. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Enrique F. Torres, Pablo Ibáñez, Víctor Viñals, José María Llabería Counteracting Bank Misprediction in Sliced First-Level Caches. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Hans Vandierendonck, Hans Logie, Koenraad De Bosschere Trace Substitution. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Tao Li 0006, Lizy Kurian John Run-time modeling and estimation of operating system power consumption. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, operating system, power estimation
8Won Woo Ro, Jean-Luc Gaudiot, Stephen P. Crago, Alvin M. Despain HiDISC: A Decoupled Architecture for Data-Intensive Application. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Memory access latency and Speculative pre-execution, Data prefetching, Data-intensive applications, Decoupled architecture
8David Defour, Florent de Dinechin Software Carry-Save: A Case Study for Instruction-Level Parallelism. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Michael L. Chu, Kevin Fan, Scott A. Mahlke Region-based hierarchical operation partitioning for multicluster processors. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multicluster processor, operation partitioning, clustering, instruction-level parallelism, instruction scheduling, region-based compilation
8Miroslav N. Velev Automatic Abstraction of Equations in a Logic of Equality. Search on Bibsonomy TABLEAUX The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Adrián Cristal, Daniel Ortega, Josep Llosa, Mateo Valero Kilo-instruction Processors. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Madhavi Gopal Valluri, Lizy Kurian John, Heather Hanson Exploiting compiler-generated schedules for energy savings in high-performance processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic issue processors, very long instruction word architectures, instruction-level parallelism, low energy
8Andreas Moshovos Checkpointing alternatives for high performance, power-aware processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF checkpointing, power-aware, out-of-order execution, renaming, power density
8Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose Reducing reorder buffer complexity through selective operand caching. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-complexity datapath, short-lived values, low-power design, reorder buffer
8Jaume Abella 0001, Antonio González 0001 On Reducing Register Pressure and Energy in Multiple-Banked Register Files. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Sriram Nadathur, Akhilesh Tyagi A Dependence Driven Efficient Dispatch Scheme. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Zhijie Shi, Xiao Yang 0001, Ruby B. Lee Arbitrary Bit Permutations in One or Two Cycles. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Toshinori Sato Exploiting Instruction Redundancy for Transient Fault Tolerance. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Dynamically Managing the Communication-Parallelism Trade-off in Future Clustered Processors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Il Park 0001, Babak Falsafi, T. N. Vijaykumar Iimplicitly-Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8André Seznec, Antony Fraboulet Effective ahead Pipelining of Instruction Block Address Generation. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Jinwoo Suh, Eun-Gyu Kim, Stephen P. Crago, Lakshmi Srinivasan, Matthew C. French A Performance Analysis of PIM, Stream Processing, and Tiled Processing on Memory-Intensive Signal Processing Kernels. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Paramjit S. Oberoi, Gurindar S. Sohi Parallelism in the Front-End. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Alper Buyuktosunoglu, Tejas Karkhanis, David H. Albonesi, Pradip Bose Energy Efficient Co-Adaptive Instruction Fetch and Issue. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Anoop Iyer, Diana Marculescu Microarchitecture-level power management. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Andreas Moshovos, Gurindar S. Sohi Reducing Memory Latency via Read-after-Read Memory Dependence Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory dependence prediction, cache, dynamic optimization, load
8Marta Jiménez, José M. Llabería, Agustín Fernández Register tiling in nonrectangular iteration spaces. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF register level, locality, Data reuse, loop optimization, loop tiling
8Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose Energy-Efficient Design of the Reorder Buffer. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Brendon Cahoon, Kathryn S. McKinley Simple and effective array prefetching in Java. Search on Bibsonomy Java Grande The full citation details ... 2002 DBLP  DOI  BibTeX  RDF array prefetching, Java, static analysis, memory optimization
8Viji Srinivasan, David M. Brooks, Michael Gschwind, Pradip Bose, Victor V. Zyuban, Philip N. Strenski, Philip G. Emma Optimizing pipelines for power and performance. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Robert S. Chappell, Francis Tseng, Adi Yoaz, Yale N. Patt Microarchitectural support for precomputation microthreads. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Vlad Petric, Anne Bracy, Amir Roth Three extensions to register integration. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Jamison D. Collins, Suleyman Sair, Brad Calder, Dean M. Tullsen Pointer cache assisted prefetching. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Resit Sendag, David J. Lilja, Steven R. Kunkel Exploiting the Prefetching Effect Provided by Executing Mispredicted Load Instructions. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Mohamed M. Zahran, Manoj Franklin A Feasibility Study of Hierarchical Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Satoshi Matsushita Design Experience of a Chip Multiprocessor Merlot and Expectation to Functional Verification. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
8Gurhan Kucuk, Dmitry Ponomarev 0001, Kanad Ghose Low-complexity reorder buffer architecture. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-complexity datapath, low-power design, reorder buffer
8Juan L. Aragón, José González 0002, Antonio González 0001, James E. Smith 0001 Dual path instruction processing. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF branch misprediction penalty, dual path processing, pre-scheduling, confidence estimation
8Anoop Iyer, Diana Marculescu Power efficiency of voltage scaling in multiple clock, multiple voltage cores. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Oliverio J. Santana, Ayose Falcón, Enrique Fernández, Pedro Medina, Alex Ramírez, Mateo Valero A Comprehensive Analysis of Indirect Branch Prediction. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF indirect branch, Multi-Stage Cascaded Predictor, branch prediction, microarchitecture, Branch Target Buffer
8Dana S. Henry, Gabriel H. Loh, Rahul Sami Speculative Clustered Caches for Clustered Processors. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Michael C. Huang 0001, Jose Renau, Josep Torrellas Energy-efficient hybrid wakeup logic. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF wakeup logic, low power, issue logic
8Oguz Ergin, Kanad Ghose, Gurhan Kucuk, Dmitry Ponomarev 0001 A Circuit-Level Implementation of Fast, Energy-Efficient CMOS Comparators for High-Performance Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Afzal Hossain, Daniel J. Pease, James S. Burns, Nasima Parveen Trace Cache Performance Parameters. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Afzal Hossain, Daniel J. Pease, James S. Burns, Nasima Parveen A Mathematical Model of Trace Cache. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Mark D. Aagaard, Nancy A. Day, Meng Lou Relating Multi-step and Single-Step Microprocessor Correctness Statements. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Alex Pajuelo, Antonio González 0001, Mateo Valero Speculative Dynamic Vectorization. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Speculative dynamic vectorization, wide buses, speculative data computation, control independence, vector instructions
8André Seznec, Stephen Felix, Venkata Krishnan, Yiannakis Sazeides Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF EV8 processor, Branch Prediction
8Anoop Iyer, Diana Marculescu Power and Performance Evaluation of Globally Asynchronous Locally Synchronous Processors. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Michael Sung, Ronny Krashinsky, Krste Asanovic Multithreading decoupled architectures for complexity-effective general purpose computing. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Jinsuo Zhang The predictability of load address. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF context predictor, global context predictor, last address predictor, load address prediction, stack coloring, stride predictor, program behavior, hybrid predictor
8Rolf B. Hilgendorf, Wolfram Sauer Instruction translation for an experimental S/390 processor. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IBM System/390
8Ulrich Sigmund, Theo Ungerer Die Multimediafaehigkeit von mehrfaedig superskalaren Prozessoren am Beispiel der MPEG-2-Decodierung. Search on Bibsonomy Inform. Forsch. Entwickl. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Mehrfädig superskalar, Superskalarprozessor, Multimediaprozessor, Multimediaeinheit, Videodecodierung, MPEG-2
8Chi-Keung Luk, Todd C. Mowry Architectural and compiler support for effective instruction prefetching: a cooperative approach. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler optimization, instruction prefetching
8David López 0001, Josep Llosa, Mateo Valero, Eduard Ayguadé Cost-Conscious Strategies to Increase Performance of Numerical Programs on Aggressive VLIW Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF numerical applications, performance/cost trade-off, instruction level parallelism, software pipelining, VLIW processors
8Mahmut T. Kandemir, J. Ramanujam Data Relation Vectors: A New Abstraction for Data Optimizations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler optimizations for memory hierarchy, reuse vectors, data relation vectors, loop transformations, Data reuse, cache locality, memory layouts
8Sangyeun Cho, Pen-Chung Yew, Gyungho Lee A High-Bandwidth Memory Pipeline for Wide Issue Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Data bandwidth, runtime stack, data stream partitioning, multiported data cache, instruction level parallelism, data locality
8Daniel Kästner, Sebastian Winkel ILP-based Instruction Scheduling for IA-64. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Jesús Corbal, Roger Espasa, Mateo Valero On the Efficiency of Reductions in µ-SIMD Media Extensions. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Miroslav N. Velev, Randal E. Bryant EVC: A Validity Checker for the Logic of Equality with Uninterpreted Functions and Memories, Exploiting Positive Equality, and Conservative Transformations. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Sriram Padmanabhan, Timothy Malkemus, Ramesh C. Agarwal, Anant Jhingran Block Oriented Processing of Relational Database Operations in Modern Computer Architectures. Search on Bibsonomy ICDE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Colin Egan, Gordon B. Steven, Won Shim, Lucian N. Vintan Applying Caching to Two-Level Adaptive Branch Prediction. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Two-level Adaptive Branch Predictors, Cached Correlated Branch Predictors, Prediction Cache
8Brian Fahs, Satarupa Bose, Matthew M. Crum, Brian Slechta, Francesco Spadini, Tony Tung, Sanjay J. Patel, Steven S. Lumetta Performance characterization of a hardware mechanism for dynamic optimization. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Juan L. Aragón, José González 0002, José M. García 0001, Antonio González 0001 Confidence Estimation for Branch Prediction Reversal. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Aneesh Aggarwal, Manoj Franklin Putting Data Value Predictors to Work in Fine-Grain Parallel Processors. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Soner Önder, Rajiv Gupta 0001 Instruction Wake-Up in Wide Issue Superscalars. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Eduard Ayguadé, Fredrik Dahlgren, Christine Eisenbeis, Roger Espasa, Guang R. Gao, Henk L. Muller, Rizos Sakellariou, André Seznec Topic 08+13: Instruction-Level Parallelism and Computer Architecture. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8M. Anton Ertl, David Gregg The Behavior of Efficient Virtual Machine Interpreters on Modern Architectures. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Toshinori Sato, Itsujiro Arita Execution Latency Reduction via Variable Latency Pipeline and Instruction Reuse. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license