The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for timing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1969 (16) 1970-1974 (17) 1975-1976 (20) 1977-1979 (20) 1980-1982 (26) 1983 (15) 1984-1985 (49) 1986 (40) 1987 (40) 1988 (60) 1989 (70) 1990 (106) 1991 (108) 1992 (98) 1993 (105) 1994 (169) 1995 (226) 1996 (211) 1997 (250) 1998 (263) 1999 (334) 2000 (379) 2001 (385) 2002 (598) 2003 (642) 2004 (803) 2005 (881) 2006 (1067) 2007 (1017) 2008 (1041) 2009 (734) 2010 (469) 2011 (452) 2012 (403) 2013 (441) 2014 (427) 2015 (454) 2016 (479) 2017 (486) 2018 (495) 2019 (475) 2020 (429) 2021 (411) 2022 (406) 2023 (432) 2024 (96)
Publication types (Num. hits)
article(5049) book(9) data(2) incollection(43) inproceedings(10844) phdthesis(177) proceedings(21)
Venues (Conferences, Journals, ...)
PATMOS(927) DAC(547) IEEE Trans. Comput. Aided Des....(462) ICCAD(338) CoRR(336) DATE(336) ASP-DAC(223) IEEE Trans. Commun.(210) IEEE Trans. Very Large Scale I...(198) ISCAS(197) ISQED(179) VLSI Design(142) ISPD(131) RTSS(127) ICCD(126) ACM Great Lakes Symposium on V...(125) More (+10 of total 2487)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9063 occurrences of 3443 keywords

Results
Found 16149 publication records. Showing 16145 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Marek Jersak, Kai Richter 0001, Rafik Henia, Rolf Ernst, Frank Slomka Transformation of SDL specifications for system-level timing analysis. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SDL
19Larry McMurchie, Carl Sechen WTA: waveform-based timing analysis for deep submicron circuits. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Aloysius K. Mok, Chan-Gun Lee, Honguk Woo, Prabhudev Konana The Monitoring of Timing Constraints on Time Intervals. Search on Bibsonomy RTSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Yasuo Matsubara, Takahiro Shakushi The Effects of Timing Dependence and Recursion on Parallel Program Schemata. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Anne E. Gattiker, Sani R. Nassif, Rashmi Dinakar, Chris Long Static timing analysis based circuit-limited-yield estimation. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Konstantinos Doris, Arthur H. M. van Roermund, Domine Leenaerts A general analysis on the timing jitter in D/A converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19J. B. Miller, John C. McEachen, Herschel H. Loomis Jr., Michael A. Tope, D. B. Copeland An analysis of noise in timing-based communications over local area networks. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Richard A. Kemmerer A Practical Approach to Identifying Storage and Timing Channels: Twenty Years Later. Search on Bibsonomy ACSAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Alan Grigg, Neil C. Audsley Reservation-Based Timing Analysis -A Practical Engineering Approach for Distributed Real-Time Systems. Search on Bibsonomy ECBS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Emilio Vivancos, Christopher A. Healy, Frank Mueller 0001, David B. Whalley Parametric Timing Analysis. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Xuandong Li, Cui Meng, Yu Pei 0001, Jianhua Zhao, Guoliang Zheng Timing Analysis of UML Activity Diagrams. Search on Bibsonomy UML The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Paul Kartschoke, Stephen F. Geissler Timing Driven Wiring on an Advanced Microprocessor. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Nina Amla, E. Allen Emerson, Kedar S. Namjoshi, Richard J. Trefler Assume-Guarantee Based Compositional Reasoning for Synchronous Timing Diagrams. Search on Bibsonomy TACAS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Arun Krishnamachary, Jacob A. Abraham, Raghuram S. Tupuri Timing Verification and Delay Test Generation for Hierarchical Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai Timing optimization on routed designs with incremental placementand routing characterization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Kenneth J. Turner, F. Javier Argul-Marin, Stephen D. Laing Concurrent Specification and Timing Analysis of Digital Hardware Using SDL. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Chris Dick, Fred Harris 0001, Michael Rice Synchronization in Software Radios-Carrier and Timing Recovery Using FPGAs. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Tong Xiao, Malgorzata Marek-Sadowska Worst Delay Estimation in Crosstalk Aware Static Timing Analysis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Ravishankar Arunachalam, Karthik Rajagopal, Lawrence T. Pileggi TACO: timing analysis with coupling. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Sung-Kwan Kim, Sang Lyul Min, Rhan Ha Analysis of the Impacts of Overestimation Sources on the Accuracy of Worst Case Timing Analysis. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF real-time systems, WCET analysis
19Costas Mourlas, David A. Duce, Michael D. Wilson On Satisfying Timing and Resource Constraints in Distributed Multimedia Systems. Search on Bibsonomy ICMCS, Vol. 2 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Real-Time Synchronization, Quality of Service, Resource Management, Real-Time Constraints, Distributed Multimedia Systems
19Lorraine E. P. Rice, Albert Mo Kim Cheng Timing Analysis of the X-38 Space Station Crew Return Vehicle Avionics. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Yi-Kan Cheng, Sung-Mo Kang Temperature-driven power and timing analysis for CMOS ULSI circuits. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Miodrag Potkonjak, Mani B. Srivastava Behavioral optimization using the manipulation of timing constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Thomas Lundqvist, Per Stenström Integrating Path and Timing Analysis Using Instruction-Level Simulation Techniques. Search on Bibsonomy LCTES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Jonathan T. Trostle Timing Attacks Against Trusted Path. Search on Bibsonomy S&P The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Jonathan T.-Y. Chang, Chao-Wen Tseng, Chien-Mo James Li, Mike Purtell, Edward J. McCluskey Analysis of pattern-dependent and timing-dependent failures in an experimental test chip. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Yuji Kukimoto, Robert K. Brayton Hierarchical Functional Timing Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Anmol Mathur, C. L. Liu 0001 Timing-driven placement for regular architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Marios Mavronicolas, Marina Papatriantafilou, Philippas Tsigas The Impact of Timing on Linearizability in Counting Networks. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Rolf Ernst, Wei Ye 0002 Embedded program timing analysis based on path clustering and architecture classification. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Christopher G. Knight, Adit D. Singh, Victor P. Nelson An IDDQ Sensor for Concurrent Timing Error Detection. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19R. V. Raj, N. S. Murty, P. S. Nagendra Rao, Lalit M. Patnaik Effective Heuristics for Timing Driven Constructive Placement. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Chanhee Oh, M. Ray Mercer Efficient logic-level timing analysis using constraint-guided critical path search. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Yves Blaquière, Michel R. Dagenais, Yvon Savaria Timing analysis speed-up using a hierarchical and a multimode approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Lo Ko, David B. Whalley, Marion G. Harmon Supporting User-Friendly Analysis of Timing Constraints. Search on Bibsonomy Workshop on Languages, Compilers, & Tools for Real-Time Systems The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Henrik Hulgaard, Steven M. Burns Efficient Timing Analysis of a Class of Petri Nets. Search on Bibsonomy CAV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang Certified timing verification and the transition delay of a logic circuit. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19C. Thomas Gray, Wentai Liu, Ralph K. Cavin III Timing constraints for wave-pipelined systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Abhijit Dharchoudhury, Sung-Mo Kang, K. H. (Kane) Kim, S. H. Lee Fast and accurate timing simulation with regionwise quadratic models of MOS I-V characteristics. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Meng-Lin Yu, Bryan D. Ackland VLSI timing simulation with selective dynamic regionization. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19John R. Feehrer, Harry F. Jordan Timing uncertainty analysis for time-of-flight systems. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19David C. Ku, Giovanni De Micheli Relative scheduling under timing constraints: algorithms for high-level synthesis of digital circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Wolf-Dieter Tiedemann Bus Protocol Conversion: from Timing Diagrams to State Machines. Search on Bibsonomy EUROCAST The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Robert Tjärnström Automatic generation of timing specifications for CMOS transistor subnetworks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19P. A. Subrahmanyam What's in a Timing Discipline? Considerations in the Specification and Synthesis of Systems with Interacting Asynchronous and Synchronous Components. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Sally A. Hayati, Alice C. Parker Automatic Production of Controller Specifications from Control and Timing Behavioral Descriptions. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19James J. Cherry Pearl: A CMOS Timing Analyzer. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
19H. C. Yen, Subbarao Ghanta, David Hung-Chang Du A Path Selection Algorithm for Timing Analysis. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
19Mario Barbacci, Jeannette M. Wing Specifying Functional and Timing Behavior for Real-Time Applications. Search on Bibsonomy PARLE (2) The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Sumit Ghosh A rule-based approach to unifying functional and fault simulation and timing verification. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
19Farhat Thabet, Philippe Coussy, Dominique Heller, Eric Martin 0001 Exploration and Rapid Prototyping of DSP Applications using SystemC Behavioral Simulation and High-level Synthesis. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Prototyping, Refinement, High-level synthesis, Design space exploration, System level design
19Pei-Hsin Ho Industrial clock design. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, variability, physical design, clock tree synthesis
19Kwangok Jeong, Andrew B. Kahng, Hailong Yao Revisiting the linear programming framework for leakage power vs. performance optimization. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Marios Mavronicolas, Michael Merritt, Gadi Taubenfeld Sequentially consistent versus linearizable counting networks. Search on Bibsonomy Distributed Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Balancing networks, Inconsistency fractions, Sequential consistency, Linearizability, Counting networks
19Parham Geramifar, Mohammad Reza Ay, Mojtaba Shamsaei Zafarghandi, George Loudos, Arman Rahmim Monte Carlo assessment of time-of-flight benefits on the LYSO-based discovery RX PET/CT scanner. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Bao Liu 0001 Spatial Correlation Extraction via Random Field Simulation and Production Chip Performance Regression. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Vahid Garousi Traffic-aware Stress Testing of Distributed Real-Time Systems Based on UML Models in the Presence of Time Uncertainty. Search on Bibsonomy ICST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Time Uncertainty, UML, Distributed Real-Time Systems, Stress Testing
19Guenter Khyo, Peter P. Puschner, Martin Delvai An Operating System for a Time-Predictable Computing Node. Search on Bibsonomy SEUS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Temporal Predictability, Determinism, Real-Time Operating Systems, Time-Triggered Architecture
19Daxian Yun, Yanjie Peng, Jun Han 0003, Xiaoyang Zeng Tracking loop for IR-UWB communications in IEEE 802.15 multi-path channels. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Minjin Zhang, Huawei Li 0001, Xiaowei Li 0001 Multiple Coupling Effects Oriented Path Delay Test Generation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk, delay test, path delay fault
19Mahmut Yilmaz, Krishnendu Chakrabarty, Mohammad Tehranipoor Test-Pattern Grading and Pattern Selection for Small-Delay Defects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Small-delay defects, pattern grading, pattern selection, ATPG
19Shanna-Shaye Forbes, Hiren D. Patel, Edward A. Lee, Hugo A. Andrade An Automated Mapping of Timed Functional Specification to a Precision Timed Architecture. Search on Bibsonomy DS-RT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Yan Lin 0001, Lei He 0001 Interactive presentation: Statistical dual-Vdd assignment for FPGA interconnect power reduction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Huan Ren, Shantanu Dutt Constraint satisfaction in incremental placement with application to performance optimization under power constraints. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Guilan Dai, Xiaoying Bai, Chongchong Zhao A Framework for Time Consistency Verification for Web Processes Based on Annotated OWL-S. Search on Bibsonomy GCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Vineet Wason, Rajeev Murgai, William W. Walker An Efficient Uncertainty- and Skew-aware Methodology for Clock Tree Synthesis and Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Suleman Surti, Joel S. Karp, Lucretiu M. Popescu, Margaret E. Daube-Witherspoon, Matthew E. Werner Investigation of time-of-flight benefit for fully 3-DPET. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Xianfeng Li, Abhik Roychoudhury, Tulika Mitra Modeling out-of-order processors for WCET analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Worst-case execution time (WCET) analysis, Out-of-order superscalar processor, Branch prediction, Instruction cache
19Shige Wang, Kang G. Shin Task Construction for Model-Based Design of Embedded Control Software. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Task construction, model transformation, embedded software, model-based design
19Ad M. G. Peeters Clockless IC design using handshake technology. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19June J. Skelly Temporal interface designs for modeling and simulation: reducing display clutter by temporal fusion. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Leonard Lee, Li-C. Wang On bounding the delay of a critical path. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19I-De Huang, Sandeep K. Gupta 0001 Selection of Paths for Delay Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ghassan Kbar, Wathiq Mansoor Mobile Station Location Based on Hybrid of Signal Strength and Time of Arrival. Search on Bibsonomy ICMB The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MS positioning, Wireless, GSM
19Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja A yield improvement methodology using pre- and post-silicon statistical clock scheduling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Junhyung Um, Taewhan Kim Synthesis of arithmetic circuits considering layout effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Li-Da Huang, Hung-Ming Chen, D. F. Wong 0001 Global Wire Bus Configuration with Minimum Delay Uncertainty. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Insik Shin, Insup Lee 0001 Periodic Resource Model for Compositional Real-Time Guarantees. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng On theoretical and practical considerations of path selection for delay fault testing. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Junhyung Um, Taewhan Kim Layout-aware synthesis of arithmetic circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout, high performance, carry-save-adder
19Juan Zamorano, José F. Ruiz, Juan Antonio de la Puente Implementing Ada.Real_Time.Clock and Absolute Delays in Real-Time Kernels. Search on Bibsonomy Ada-Europe The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Irina B. Virbitskaite, E. Pokozy Parametric Behaviour Analysis for Time Petri Nets. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Karl-Heinz Zimmermann A Unifying Lattice-Based Approach for the Partitioning of Systolic Arrays via LPGS and LSGP. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Karl-Heinz Zimmermann, Wolfgang Achtziger Finding Space-Time Transformations for Uniform Recurrences via Branching Parametric Linear Programming. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Shangping Ren, Gul Agha RTsynchronizer: Language Support for Real-Time Specifications in Distributed Systems. Search on Bibsonomy Workshop on Languages, Compilers, & Tools for Real-Time Systems The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19David K. Probst, Hon Fung Li Verifying Timed Behavior Automata with Input/Output Critical Races. Search on Bibsonomy CAV The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Balasubramanya Bhat, Frank Mueller 0001 Making DRAM Refresh Predictable. Search on Bibsonomy ECRTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DRAM Refresh, Real-Time Systems, Timing Analysis, DRAM, Worst-Case Execution Time, Timing Predictability
19Arijit Mondal, Partha Pratim Chakrabarti, Pallab Dasgupta Accelerating Synchronous Sequential Circuits Using an Adaptive Clock. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF VLSI, CAD, delays, Timing, sequential circuits, Timing optimization
19Ali Dasdan Provably efficient algorithms for resolving temporal and spatial difference constraint violations. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interface timing, layout compaction, scheduling, real-time systems, constraint satisfaction, timing constraints, Behavioral synthesis, multimedia synchronization, rate analysis
19Susanne Graf, Ileana Ober, Iulian Ober A real-time profile for UML. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Real-time profile, Modeling, Real-time systems, UML, Formal verification, Timing analysis, OMEGA, Timing properties
19Masahiko Toyonaga, Keiichi Kurokawa, Takuya Yasui, Atsushi Takahashi 0001 A practical clock tree synthesis for semi-synchronous circuits. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF clock-input timing, environmental and manufacturing conditions, semi-synchronous, various timing clock tree, zero skew clock tree, clock scheduling
19Stephen H. Unger Hazards, Critical Races, and Metastability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF critical race, dynamic hazards, essential hazards, inertial delays, pure delays, sequential logic, timing problems, delays, Asynchronous, metastability, timing simulation
17Guihai Yan, Yinhe Han 0001, Xiaowei Li 0001 ReviveNet: A Self-Adaptive Architecture for Improving Lifetime Reliability via Localized Timing Adaptation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Lifetime reliability, aging sensor, self-adaptive, NBTI, timing adaptation
17JingZheng Wu, Liping Ding, Yongji Wang 0002, Wei Han Identification and Evaluation of Sharing Memory Covert Timing Channel in Xen Virtual Machines. Search on Bibsonomy IEEE CLOUD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Channel Identification, Channel Scenario Construction, Channel Performance Evaluation, Cloud Computing, Xen, Covert Timing Channel
17Yit Kwong Chin, Lai Kuan Lee, Nurmin Bolong, Soo Siang Yang, Kenneth Tze Kin Teo Exploring Q-Learning Optimization in Traffic Signal Timing Plan Management. Search on Bibsonomy CICSyN The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Traffic Signal Timing Plan, Traffic Flow Control, Q-Learning
17Haile Yu, Qiang Xu 0001, Philip Heng Wai Leong On Timing Yield Improvement for FPGA Designs Using Architectural Symmetry. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Architectural Symmetry, LE swap, FPGA, Timing Yield
17Wen-Chang Hsu, Shih-Hao Hung, Chia-Heng Tu A Virtual Timing Device for Program Performance Analysis. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance evaluation, embedded system, functional simulation, virtual platform, Timing estimation
17Haibo Zeng 0001, Arkadeb Ghosal, Marco Di Natale Timing Analysis and Optimization of FlexRay Dynamic Segment. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Scheduling, Timing Analysis, FlexRay
17Andreas Schranzhofer, Jian-Jia Chen, Lothar Thiele Timing Analysis for TDMA Arbitration in Resource Sharing Systems. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Worst-Case Timing Analysis, Real-Time Systems, Time Division Multiple Access (TDMA)
Displaying result #901 - #1000 of 16145 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license