The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase 10-Gbps (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1998 (16) 1999-2001 (15) 2002 (18) 2003 (49) 2004 (45) 2005 (53) 2006 (65) 2007 (65) 2008 (77) 2009 (57) 2010 (40) 2011 (36) 2012 (44) 2013 (38) 2014 (60) 2015 (77) 2016 (54) 2017 (46) 2018 (84) 2019 (50) 2020 (53) 2021 (56) 2022 (42) 2023 (41) 2024 (8)
Publication types (Num. hits)
article(317) inproceedings(868) phdthesis(4)
Venues (Conferences, Journals, ...)
OFC(124) ECOC(52) ISCAS(46) IEEE J. Solid State Circuits(32) CICC(27) CoRR(27) FPL(21) ITC(19) IEICE Trans. Electron.(18) FCCM(16) IEEE Access(16) FPGA(15) GLOBECOM(15) IEICE Electron. Express(15) PIMRC(15) VTC Spring(13) More (+10 of total 329)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 341 occurrences of 236 keywords

Results
Found 1189 publication records. Showing 1189 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
77David C. Keezer, Dany Minier, F. Binette Modular Extension of ATE to 5 Gbps. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Shubha Bommalingaiahnapallya, Kin-Joe Sham, Mahmoud Reza Ahmadi, Ramesh Harjani High-Speed Circuits for a Multi-Lane 12 Gbps CMOS PRBS Generator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56David C. Keezer, Dany Minier, Marie-Christine Caron A Production-Oriented Multiplexing System for Testing above 2.5 Gbps. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Hiroyuki Kimiyama, Kenji Shimizu, Tetsuo Kawano, Tsuyoshi Ogura, Mitsuru Maruyama Real-time Processing Method for an Ultra High-Speed Streaming Server Running PC Linux. Search on Bibsonomy AINA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Hidekazu Taoka, Kenichi Higuchi, Mamoru Sawahashi Field Experiments on Real-Time 1-Gbps High-Speed Packet Transmission in MIMO-OFDM Broadband Packet Radio Access. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46David C. Keezer, Dany Minier, Marie-Christine Caron Multiplexing ATE Channels for Production Testing at 2.5 Gbps. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Motohiko Matsuda, Tomohiro Kudoh, Yuetsu Kodama, Ryousei Takano, Yutaka Ishikawa The design and implementation of MPI collective operations for clusters in long-and-fast networks. Search on Bibsonomy Clust. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Allreduce, Grid, Broadcast, Message passing interface (MPI), Wide-area network, Collective communication
41Akashi Satoh, Takeshi Sugawara 0001, Naofumi Homma, Takafumi Aoki High-Performance Concurrent Error Detection Scheme for AES Hardware. Search on Bibsonomy CHES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Motohiko Matsuda, Tomohiro Kudoh, Yuetsu Kodama, Ryousei Takano, Yutaka Ishikawa Efficient MPI Collective Operations for Clusters in Long-and-Fast Networks. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Jiawen Hu A clock recovery circuit for blind equalization multi-Gbps serial data links. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Ching-Te Chiu, Chun-Chieh Chang, Shih-Min Chen, Hou-Cheng Tzeng, Ming-Chang Du, Yu-Ho Hsu, Jen-Ming Wu, Kai-Ming Feng A 20 Gbps Scalable Load-Balanced TDM Switch with CODEC for High Speed Networking Applications. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Nelson Ou, Touraj Farahmand, Andy Kuo, Sassan Tabatabaei, André Ivanov Jitter Models for the Design and Test of Gbps-Speed Serial Interconnects. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Mohamed M. Hafed, Antonio H. Chan, Geoffrey D. Duerden, Bardia Pishdad, Clarence Tam, Sébastien Laberge, Gordon W. Roberts A High-Throughput 5 GBps Timing and Jitter Test Module Featuring Localized Processing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Máire McLoone, John V. McCanny Very High Speed 17 Gbps SHACAL Encryption Architecture. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SHACAL, NESSIE
36Niraj Jain, Mischa Schwartz, Theodore R. Bashkow Transport Protocol Processing at GBPS Rates. Search on Bibsonomy SIGCOMM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
31Oreste Villa, Daniel G. Chavarría-Miranda, Kristyn J. Maschhoff Input-independent, scalable and fast string matching on the Cray XMT. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Vijay Khawshe, Kapil Vyas, Renu Rangnekar, Prateek Goyal, Vijay Krishna, Kashinath Prabhu, Pravin Kumar Venkatesan, Leneesh Raghavan, Rajkumar Palwai, M. Thrivikraman, Kunal Desai, Abhijit Abhyankar A 2.4Gbps-4.8Gbps XDR-DRAM I/O (XIO) Link. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31James Moscola, John W. Lockwood, Young H. Cho Reconfigurable content-based router using hardware-accelerated language parser. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parser hardware, XML, pattern matching, Parsing, regular expressions, content-based routing
31Candy Yiu, Suresh Singh High Data Rate WLAN. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Yu-Hao Hsu, Ming-Hao Lu, Ping-Ling Yang, Fanta Chen, You-Hung Li, Min-Sheng Kao, Chih-Hsing Lin, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Yarsun Hsu A 28Gbps 4×4 switch with low jitter SerDes using area-saving RF model in 0.13µm CMOS technology. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Akashi Satoh ASIC hardware implementations for 512-bit hash function Whirlpool. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Haixin Wang, Guoqiang Bai 0001, Hongyi Chen Zodiac: System architecture implementation for a high-performance Network Security Processor. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Akashi Satoh High-Speed Parallel Hardware Architecture for Galois Counter Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Young H. Cho, James Moscola, John W. Lockwood Context-Free-Grammar based Token Tagger in Reconfigurable Devices. Search on Bibsonomy ICDE Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Young H. Cho, James Moscola, John W. Lockwood Context-free-grammar based token tagger in reconfigurable devices. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Hui Qin, Tsutomu Sasao, Yukihiro Iguchi An FPGA design of AES encryption circuit with 128-bit keys. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AES encryption, FPGA, pipeline
31Hiroshi Nakashima, Hiroshi Nakamura, Mitsuhisa Sato, Taisuke Boku, Satoshi Matsuoka, Daisuke Takahashi, Yoshihiko Hotta MegaProto: 1 TFlops/10kW Rack Is Feasible Even with Only Commodity Technology. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Herwin Chan, Alireza Hodjat, Jun Shi 0001, Richard D. Wesel, Ingrid Verbauwhede Streaming Encryption for a Secure Wavelength and Time Domain Hopped Optical Network. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Hiroyuki Kamezawa, Makoto Nakamura, Junji Tamatsukuri, Nao Aoshima, Mary Inaba, Kei Hiraki Inter-Layer Coordination for Parallel TCP Streams on Long Fat Pipe Networks. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Akashi Satoh, Sumio Morioka Hardware-Focused Performance Comparison for the Standard Block Ciphers AES, Camellia, and Triple-DES. Search on Bibsonomy ISC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Navin K. Ramamoorthy, Jayabharath Reddy M, Vishwanath Muniyappa High Speed Serial Link Transmitter for 10Gig Ethernet Applications. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SerDes I/O, tap co-efficients, Gigabits per second(Gbps), Equalization, Transmitter, ISI, CML
31Cary Gunn CMOS Photonics for High-Speed Interconnects. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS photonics, high-speed optical communications, Luxtera, 10-Gbps
31Fei Yuan A new power-area efficient 4-PAM full-clock CMOS pre-emphasis transmitter for 10Gb/s serial links. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gbps serial link transmitters
30Cheng Cheng, Sovan Das, Stefan Videv, Adrian Sparks, Sina Babadi, Aravindh Krishnamoorthy, Changmin Lee 0002, Daniel Grieder, Kathleen Hartnett, Paul Rudy, James Raring, Marzieh Najafi, Vasilis K. Papanikolaou, Robert Schober, Harald Haas 100 Gbps Indoor Access and 4.8 Gbps Outdoor Point-to-Point LiFi Transmission Systems using Laser-based Light Sources. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
30Daniele Pirrone, Antonio Ferraro, Dimitrios C. Zografopoulos, Walter Fuscaldo, Pascal Szriftgiser, Guillaume Ducournau, Romeo Beccherelli Metasurface-Based Filters for High Data Rate THz Wireless Communication: Experimental Validation of a 14 Gbps OOK and 104 Gbps QAM-16 Wireless Link in the 300 GHz Band. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30Hong Chen 0002, Dengjie Wang, Ziqiang Wang, Shuai Yuan 0005, Chun Zhang, Zhihua Wang 0001 An 11.05 mW/Gbps Quad-Channel 1.25-10.3125 Gbps Serial Transceiver With a 2-Tap Adaptive DFE and a 3-Tap Transmit FFE in 40 nm CMOS. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
30Yilun Wang, Yong Zhang, Zhibin Jiang, Wentao Deng, Xinyu Huang, Qizhi Yan, Liao Chen, Xiang Li, Lei Ye, Xinliang Zhang 128 Gbps NRZ and 224 Gbps PAM-4 Signals Reception in Graphene Plasmonic PDM Receiver. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
30Toshiki Kishi, Munehiko Nagatani, Shigeru Kanazawa, Kota Shikama, Takuro Fujii, Hidetaka Nishi, Hiroshi Yamazaki, Norio Sato, Hideyuki Nosaka, Shinji Matsuo A 0.57-mW/Gbps, 2ch × 53-Gbps Low-Power PAM4 Transmitter Front-End Flip-Chip-Bonded 1.3-µm LD-Array-on-Si. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
30Hideki Maeda, Hiroki Kawahara, Kohei Saito, Takeshi Seki, Takeo Sasai, Fukutaro Hamaoka Real-Time Demonstration of 500-Gbps/Lambda and 600-Gbps/Lambda WDM Transmission on Field-Installed Fibers. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
30Chia-Yu Su, Wei-Chun Wang, Huai-Yung Wang, Li-Yin Chen, Gong-Ru Lin Violet Laser Diode based 25-Gbps Point-to-Point and 12-Gbps MEH/BBEHP Converted white Lighting QAM-OFDM Link. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
30Hui Li, Xiaolong Chen, Tao Song, Haiyin Chen, Hao Chen Performance of the 25 Gbps/100 Gbps Fullmesh RoCE Network Using Mellanox ConnetX-4 Lx Adapter and Ruijie S6500 Ethernet Switch. Search on Bibsonomy AINA Workshops The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30Toru Yazaki, Norio Chujo, Takeshi Takemoto, Hiroki Yamashita, Akira Hyogo 25-Gbps 3-mW/Gbps/ch VCSEL Driver Circuit in 65-nm CMOS for Multichannel Optical Transmitter. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Guiqiang Peng, Leibo Liu, Sheng Zhou 0001, Shouyi Yin, Shaojun Wei A 1.58 Gbps/W 0.40 Gbps/mm2 ASIC Implementation of MMSE Detection for $128\times 8~64$ -QAM Massive MIMO in 65 nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Pablo Torres-Ferrera, Valter Ferrero, Roberto Mercinelli, Roberto Gaudino Experimental Demonstration of DSP-Assisted Electrical Duobinary Optimization for High Speed PON 25+ Gbps Using 10 Gbps APD Receiver. Search on Bibsonomy ECOC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Xinying Li, Jianjun Yu, Kaihui Wang, Yuming Xu, Long Chen, Li Zhao 0008, Wen Zhou Bidirectional delivery of 54-Gbps 8QAM W-band signal and 32-Gbps 16QAM K-band signal over 20-km SMF-28 and 2500-m wireless distance. Search on Bibsonomy OFC The full citation details ... 2017 DBLP  BibTeX  RDF
30Chuan Qin 0010, Vincent Houtsma, Doutje T. van Veen, Jeffrey Lee, Hungkei Keith Chow, Peter Vetter 40 Gbps PON with 23 dB power budget using 10 Gbps optics and DMT. Search on Bibsonomy OFC The full citation details ... 2017 DBLP  BibTeX  RDF
30Shinsuke Tanaka, Takasi Simoyama, Tsuyoshi Aoki, Toshihiko Mori, Shigeaki Sekiguchi, Seok-Hwan Jeong, Tatsuya Usuki, Yu Tanaka, Ken Morito Ultra-Low-Power (1.59 mW/Gbps), 56-Gbps PAM4 Operation of Si Photonic Transmitter Integrating Segmented PIN Mach-Zehnder Modulator and 28-nm CMOS Driver. Search on Bibsonomy ECOC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Namik Kocaman, Tamer A. Ali 0001, Lakshmi P. Rao, Ullas Singh, Mohammed M. Abdul-Latif, Yang Liu, Amr Amin Hafez, Henry Park, Anand Vasani, Zhi Huang, Arvindh Iyer, Bo Zhang 0029, Afshin Momtaz A 3.8 mW/Gbps Quad-Channel 8.5-13 Gbps Serial Link With a 5 Tap DFE and a 4 Tap Transmit FFE in 28 nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Hideki Maeda, Takashi Kotanigawa, Kohei Saito, Masahiro Yokota, Shuto Yamamoto, Fukutaro Hamaoka, Mitsuteru Yoshida, Masahiro Suzuki, Takeshi Seki Field trial of simultaneous 100-Gbps and 400-Gbps transmission using advanced digital coherent technologies. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
30Hao Hu, R. Asif, Feihong Ye, Simon Gross, Michael J. Withford, Toshio Morioka, Leif Katsuo Oxenløwe Bidirectional 120 Gbps SDM-WDM-PON with colourless ONU using 10 Gbps optical components without DSP. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
30Cheng-Ting Tsai, Shuo Chang, Chun-Yen Pong, Shan-Fong Liang, Yu-Chieh Chi, Chao-Hsin Wu, Tien-Tsorng Shih, Jian Jang Huang, Hao-Chung Kuo, Wood-Hi Cheng, Gong-Ru Lin RIN suppressed multimode 850-nm VCSEL for 56-Gbps 16-QAM OFDM and 22-Gbps PAM-4 transmission. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
30Mengdi Song WDM transmission of 400 Gbps and beyond using multi-band OFDM & Nyquist-WDM. (Transmission WDM à 400 Gbps et au-delà au moyen de modulations multi-bandes OFDM & Nyquist-WDM). Search on Bibsonomy 2016   RDF
30Tamer A. Ali 0001, Lakshmi P. Rao, Ullas Singh, Mohammed M. Abdul-Latif, Yang Liu, Amr Amin Hafez, Henry Park, Anand Vasani, Zhi Huang, Arvindh Iyer, Bo Zhang 0029, Afshin Momtaz, Namik Kocaman A 3.8 mW/Gbps quad-channel 8.5-13 Gbps serial link with a 5-tap DFE and a 4-tap transmit FFE in 28 nm CMOS. Search on Bibsonomy VLSIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Kenichiro Yashiki, Yasuyuki Suzuki, Yasuhiko Hagihara, Mitsuru Kurihara, Masatoshi Tokushima, Junichi Fujikata, Akio Ukita, Koichi Takemura, Takanori Shimizu, Daisuke Okamoto, Jun Ushida, Shigeki Takahashi, Toshinori Uemura, Makoto Okano, Junichi Tsuchida, Takaaki Nedachi, Makoto Fushimi, Ichiro Ogura, Jun Inasaka, Kazuhiko Kurata 5 mW/Gbps hybrid-integrated Si-photonics-based optical I/O cores and their 25-Gbps/ch error-free operation with over 300-m MMF. Search on Bibsonomy OFC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Vincent Houtsma, Doutje T. van Veen Demonstration of symmetrical 25 Gbps TDM-PON with 31.5 dB optical power budget using only 10 Gbps optical components. Search on Bibsonomy ECOC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Takushi Hashida, Yasumoto Tomita, Yuuki Ogata, Kosuke Suzuki, Shigeto Suzuki, Takanori Nakao, Yuji Terao, Satofumi Honda, Sota Sakabayashi, Ryuichi Nishiyama, Akihiko Konmoto, Yoshitomo Ozeki, Hiroyuki Adachi, Hisakatsu Yamaguchi, Yoichi Koyanagi, Hirotaka Tamura A 36 Gbps 16.9 mW/Gbps transceiver in 20-nm CMOS with 1-tap DFE and quarter-rate clock distribution. Search on Bibsonomy VLSIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Krzysztof Szczerba, Petter Westbergh, Magnus Karlsson 0001, Peter A. Andrekson, Anders Larsson 70 Gbps 4-PAM and 56 Gbps 8-PAM using an 850 nm VCSEL. Search on Bibsonomy ECOC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Julie Karaki 100 Gbps coherent MB-OFDM for long-haul WDM optical transmission. (Transmission optique longue distance avec le format MB-OFDM cohérent à 100 Gbps). Search on Bibsonomy 2013   RDF
30Thomas Toifl, Christian Menolfi, Michael Ruegg, Robert Reutemann, Daniel Dreps, Troy J. Beukema, Andrea Prati 0002, Daniele Gardellini, Marcel A. Kossel, Peter Buchmann, Matthias Braendli, Pier Andrea Francese, Thomas Morf A 2.6 mW/Gbps 12.5 Gbps RX With 8-Tap Switched-Capacitor DFE in 32 nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Delong Cui, Bharath Raghavan, Ullas Singh, Anand Vasani, Zhi Chao Huang, Deyi Pi, Mehdi Khanpour, Ali Nazemi, Hassan Maarefi, Wei Zhang, Tamer A. Ali 0001, Nick Huang, Bo Zhang 0029, Afshin Momtaz, Jun Cao 0001 A Dual-Channel 23-Gbps CMOS Transmitter/Receiver Chipset for 40-Gbps RZ-DQPSK and CS-RZ-DQPSK Optical Transmission. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Navin K. Mishra, Manish Jain, Phuong Le, Sanku Mukherjee, Arul Sendhil, Amir Amirkhany An output structure for a bi-modal 6.4-Gbps GDDR5 and 2.4-Gbps DDR3 compatible memory interface. Search on Bibsonomy CICC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Tomas Geurts, Wim Rens, Jan Crols, Shoichiro Kashiwakura, Yuichi Segawa A 2.5 Gbps - 3.125 Gbps multi-core serial-link transceiver in 0.13 μm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Domenic Teuchert, Simon Hauger A Pipelined IP Address Lookup Module for 100 Gbps Line Rates and beyond. Search on Bibsonomy EUNICE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Jeffrey M. Gilbert, Chinh H. Doan, Sohrab Emami, C. Bernard Shung A 4-Gbps Uncompressed Wireless HD A/V Transceiver Chipset. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF uncompressed, HDMI, multimedia, networking, wireless, video, CMOS, high-definition, 60 GHz
25Chin-Sean Sum, Ryuhei Funada, Junyi Wang, Tuncer Baykas, Ming Lei, Yoshinori Nishiguchi, Ryota Kimura, Yozo Shoji, Hiroshi Harada, Shuzo Kato Adjacent channel interference resistance of a multi-Gbps millimeter-wave WPAN system. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Andrea M. Tonello, Pierre Siohan, Ahmed Zeddam, Xavier Mongaboure Challenges for 1 Gbps power line communications in home networks. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Ming Lei, Ismail Lakkis, Chin-Sean Sum, Tuncer Baykas, Junyi Wang, Mohammad Azizur Rahman, Ryota Kimura, Ryuhei Funada, Yozo Shoji, Hiroshi Harada, Shuzo Kato Hardware Impairments on LDPC Coded SC-FDE and OFDM in Multi-Gbps WPAN (IEEE 802.15.3c). Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Yang Sun 0001, Joseph R. Cavallaro A low-power 1-Gbps reconfigurable LDPC decoder design for multiple 4G wireless standards. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Yu-Hao Hsu, Min-Sheng Kao, Hou-Cheng Tzeng, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu A 20 Gbps Scalable Load Balanced Birkhoff-von Neumann Symmetric TDM Switch IC with SERDES Interfaces. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Birkhoff-von Neumann symmetric TDM switch IC, SERDES interfaces, load-balanced TDM switch IC, digital TDM switch, 8B10B CODEC, analog SERDES I/O interfaces, dual-mode SERDES, half-rate architectures, all static CMOS gates, wide-band CML buffer, PMOS active load scheme, 20 Gbit/s, high speed networking, CMOS technology, low power consumption, 0.18 micron
25Jian Hong Jiang, Weixin Gai, Akira Hattori, Yasuo Hidaka, Takeshi Horie, Yoichi Koyanagi, Hideki Osone Design Consideration of 6.25 Gbps Signaling for High-Performance Server. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Wai-Yeung Yip, Scott Best, Wendemagegnehu T. Beyene, Ralf Schmitt System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor; Realizing 3.2 Gbps Data Rate per Memory Lane in Low Cost, High Volume Production. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Maria Spyropoulou, Konstantinos Yiannopoulos, Stelios Sygletos, Kyriakos Vlachos, Ioannis Tomkos 160 Gbps Simulation of a Quantum Dot Semiconductor Optical Amplifier Based Optical Buffer. Search on Bibsonomy ONDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Quantum Dot Semiconductor Optical Amplifiers, Programmable Delays, Optical packet switching, Optical buffers, Wavelength Converters
25Jing-Shiun Lin, Chung-Kung Lee, Ming-Der Shieh, Jun-Hong Chen High-speed CRC design for 10 Gbps applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Wei-Zen Chen, Guan-Sheng Huang A low power programmable PRBS generator and a clock multiplier unit for 10 Gbps serdes applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Ignacio Algredo-Badillo, Claudia Feregrino Uribe, René Cumplido Design and Implementation of an FPGA-Based 1.452-Gbps Non-pipelined AES Architecture. Search on Bibsonomy ICCSA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Ryan Bespalko, Brian Frank Analysis and Design of a 10 Gbps Transimpedance Amplifier using 0.18µm CMOS technology. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Akinori Shinmyo, Masanori Hashimoto, Hidetoshi Onodera Design and measurement of 6.4 Gbps 8: 1 multiplexer in 0.18µm CMOS process. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25A. M. Majid, David C. Keezer, J. V. Karia A 5 Gbps Wafer-Level Tester. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Jaejin Park, Ruifeng Sun, L. Rick Carley, C. Patrick Yue A 10-Gbps, 8-PAM parallel interface with crosstalk cancellation for future hard disk drive channel ICs. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Sumio Morioka, Akashi Satoh A 10-Gbps full-AES crypto design with a twisted BDD S-Box architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25T. M. Mak, Mike Tripp, Anne Meixner Testing Gbps Interfaces without a Gigahertz Tester. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Stefano Camatel, Valter Ferrero, Roberto Gaudino, Pierluigi Poggiolini 2.5 Gbps 2-PSK Ultra-Dense WDM Homodyne Coherent Detection Using a Sub-Carrier Based Optical Phase-Locked Loop. Search on Bibsonomy OpNeTec The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Miguel Ângelo M. Madureira, Paulo M. P. Monteiro, Rui L. Aguiar, Manuel Violas, M. Gloanec, E. Leclerc, Bernard Lefebvre Broad-band transimpedance amplifier for multigigabit-per-second (40 Gbps) optical communication systems in 0.135µm PHEMT technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25George Kornaros, Theofanis Orphanoudakis, Ioannis Papaefstathiou Active flow identifiers for scalable, QoS scheduling in 10-Gbps network processors. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Jongsun Kim, Zhiwei Xu 0003, Mau-Chung Frank Chang Reconfigurable memory bus systems using multi-Gbps/pin CDMA I/O transceivers. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Xiaofeng Lin, Guangbin Zhang, Jin Liu 0004 Pulse extraction: a digital power spectrum estimation method for adaptation of Gbps equalizers. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Sumio Morioka, Akashi Satoh A 10 Gbps Full-AES Crypto Design with a Twisted-BDD S-Box Architecture. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Takahiro J. Yamaguchi, Masahiro Ishida, Mani Soma, Louis Malarsie, Hirobumi Musha Timing Jitter Measurement of 10 Gbps Bit Clock Signals Using Frequency Division. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Ahmed M. Shams, Magdy A. Bayoumi A 108 Gbps, 1.5 GHz 1D-DCT Architecture. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Steven Trimberger, Raymond Pang, Amit Singh A 12 Gbps DES Encryptor/Decryptor Core in an FPGA. Search on Bibsonomy CHES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Zhichun Li, Gao Xia, Hongyu Gao, Yi Tang 0002, Yan Chen 0004, Bin Liu 0001, Junchen Jiang, Yuezhou Lv NetShield: massive semantics-based vulnerability signature matching for high-speed networks. Search on Bibsonomy SIGCOMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF vulnerability signature, intrusion detection, deep packet inspection, signature matching
21Yadi Ma, Suman Banerjee 0001, Shan Lu 0001, Cristian Estan Leveraging parallelism for multi-dimensional packetclassification on software routers. Search on Bibsonomy SIGMETRICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF storm, parallelism, packet classification
21Lotfi Mhamdi On the Integration of Unicast and Multicast Cell Scheduling in Buffered Crossbar Switches. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Tian Song, Dongsheng Wang 0002, Zhizhong Tang A parameterized multilevel pattern matching architecture on FPGAs for network intrusion detection and prevention. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF network intrusion prevention, network security, pattern matching, network intrusion detection
21Daniele Paolo Scarpazza, Gregory F. Russell High-performance regular expression scanning on the Cell/B.E. processor. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core, regular expressions, cell processor
21Miroslav Knezevic, Ingrid Verbauwhede Hardware evaluation of the Luffa hash family. Search on Bibsonomy WESS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Luffa hash algorithm, SHA-3 competition, ASIC implementations
21Haoyu Song 0001, Murali S. Kodialam, Fang Hao, T. V. Lakshman Scalable IP Lookups using Shape Graphs. Search on Bibsonomy ICNP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Hidekazu Taoka, Ki Dai, Kenichi Higuchi, Mamoru Sawahashi Field Experiments on MIMO Multiplexing with Peak Frequency Efficiency of 50 Bit/Second/Hz Using MLD Based Signal Detection for OFDM High-Speed Packet Access. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Duo Liu, Zheng Chen, Bei Hua, Nenghai Yu, Xinan Tang High-performance packet classification algorithm for multithreaded IXP network processor. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture, multithreading, Network processor, packet classification, thread-level parallelism, embedded system design
21Antonis Nikitakis, Ioannis Papaefstathiou A Multi Gigabit FPGA-Based 5-tuple Classification System. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1189 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license