The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Booth with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1972 (16) 1973-1977 (16) 1978-1981 (16) 1982-1985 (16) 1986-1988 (16) 1989-1990 (19) 1991-1992 (20) 1993-1994 (23) 1995 (24) 1996-1997 (31) 1998 (19) 1999 (27) 2000 (29) 2001 (30) 2002 (30) 2003 (32) 2004 (34) 2005 (42) 2006 (34) 2007 (38) 2008 (32) 2009 (39) 2010 (27) 2011 (34) 2012 (40) 2013 (35) 2014 (47) 2015 (44) 2016 (65) 2017 (55) 2018 (47) 2019 (55) 2020 (83) 2021 (64) 2022 (67) 2023 (70) 2024 (16)
Publication types (Num. hits)
article(630) book(1) incollection(6) inproceedings(678) phdthesis(10) proceedings(7)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 436 occurrences of 305 keywords

Results
Found 1530 publication records. Showing 1332 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
122Yajuan He, Chip-Hong Chang, Jiangmin Gu, Hossam A. H. Fahmy A novel covalent redundant binary Booth encoder. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
84Justin Hensley, Anselmo Lastra, Montek Singh A Scalable Counterflow-Pipelined Asynchronous Radix-4 Booth Multiplier. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
84Chung Nan Lyu, David W. Matula Redundant Binary Booth Recoding. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
84Dursun Baran, Mustafa Aktan, Vojin G. Oklobdzija Energy efficient implementation of parallel CMOS multipliers with improved compressors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF arithmetic and logic structures, VLSI, low-power design, high- speed arithmetic, booth encoding
77Dimitris Gizopoulos, Dimitris Nikolos, Antonis M. Paschalis, Constantin Halatsis C-Testable modified-Booth multipliers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Booth multipliers, design for testability, C-testability, iterative logic arrays, carry lookahead adders, cell fault model
71Min-An Song, Lan-Da Van, Chih-Chyau Yang, Shih-Chieh Chiu, Sy-Yen Kuo A framework for the design of error-aware power-efficient fixed-width Booth multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
71Justin Hensley, Anselmo Lastra, Montek Singh An Area- and Energy-Efficient Asynchronous Booth Multiplier for Mobile Devices. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
71Hwang-Cherng Chow, I-Chyn Wey A 3.3 V 1 GHz high speed pipelined Booth multiplier. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
71Li-Hsun Chen, Oscal T.-C. Chen A low-complexity and high-speed Booth-algorithm FIR architecture. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
71T. Sansaloni, Javier Valls, Keshab K. Parhi Digit-Serial Complex-Number Multipliers on FPGAs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF complex-number multipliers, digit-serial arithmetic, FPGA, Booth recoding
65Wen-Chang Yeh, Chein-Wei Jen High-Speed Booth Encoded Parallel Multiplier Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Final adder, multiple-level conditional-sum adder and parallel multiplier, Booth encoding
65Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Effective Built-In Self-Test for Booth Multipliers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Booth multipliers, Built-In Self Test, design for testability, data paths
58Hong-An Huang, Yen-Chin Liao, Hsie-Chia Chang A self-compensation fixed-width booth multiplier and its 128-point FFT applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58Hanho Lee Reconfigurable Power-Aware Scalable Booth Multiplier. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Kei-Yong Khoo, Zhan Yu, Alan N. Willson Jr. Improved-Booth encoding for low-power multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52Costas Efstathiou, Haridimos T. Vergos, Dimitris Nikolos Modified Booth Modulo 2n-1 Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Mersenne arithmetic, one's complement arithmetic, Booth multipliers, VLSI design, Residue Number System
52Ki-seon Cho, Jong-on Park, Jin-seok Hong, Goang-Seog Choi 54x54-bit radix-4 multiplier based on modified booth algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compressor, adder, multiplier, booth encoder, wallace tree
52Xrysovalantis Kavousianos, Dimitris Bakalis, Haridimos T. Vergos, Dimitris Nikolos, George Alexiou Low Power Dissipation in BIST Schemes for Modified Booth Multipliers. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Modified Booth Multipliers, Low Power, Built In Self Test, VLSI Testing
52Michael Nicolaidis, Ricardo de Oliveira Duarte Design of Fault-Secure Parity-Prediction Booth Multipliers. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Booth multipliers, Self-checking circuits
52Kwame Osei Boateng, Hiroshi Takahashi, Yuzo Takamatsu Design of C-Testable Multipliers Based on the Modified Booth Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF modified Booth Algorithm, c-testable design, design for testability, multiplier, exhaustive testing, cell fault model
52S. M. Aziz A C-testable modified Booth's array multiplier. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C-testable multiplier, modified Booth algorithm, gate-level design, parallel processing, logic testing, integrated circuit testing, digital arithmetic, stuck-at faults, CMOS logic circuits, multiplying circuits, logic arrays, array multiplier, parallel multiplier
52D. V. Poornaiah, P. V. Ananda Mohan Design of a 3-bit Booth recoded novel VLSI concurrent multiplier-accumulator architecture. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF concurrent multiplier-accumulator architecture, second order modified Booth algorithm, sign extension bits minimization algorithm, sign-bit updating algorithm, multi-bit recoded parallel multipliers, computation time reduction, CMOS standard cell technology, 35 ns, 50 pF, parallel algorithms, VLSI, VLSI, parallel architectures, digital arithmetic, multiplication, CMOS logic circuits, multiplying circuits, accumulation, 1 micron
45Hsing-Chung Liang, Pao-Hsin Huang, Yan-Fei Tang Testing Transition Delay Faults in Modified Booth Multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45Rizwan Mudassir, Mohab Anis, Javid Jaffari Switching activity reduction in low power Booth multiplier. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45Young Eun Kim, J. O. Yoon, K. J. Cho, Jin-Gyun Chung, S. I. Cho, S. S. Choi Efficient design of modified Booth multipliers for predetermined coefficients. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Leonardo Londero de Oliveira, Cristiano Santos, Daniel Lima Ferrão, Eduardo A. C. da Costa, José Monteiro 0001, João Baptista dos Santos Martins, Sergio Bampi, Ricardo Augusto da Luz Reis A Comparison of Layout Implementations of Pipelined and Non-Pipelined Signed Radix-4 Array Multiplier and Modified Booth Multiplier Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Alok A. Katkar, James E. Stine Modified booth truncated multipliers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI, arithmetic
45Hwang-Cherng Chow, I-Chyn Wey A 3.3 V 1 GHz low-latency pipelined Booth multiplier with new Manchester carry-pass adder. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Meng-Hung Tsai, Yi-Ting Chen, Wen-Sheng Cheng, Jun-Xian Teng, Shyh-Jye Jou Sub-word and reduced-width Booth multipliers for DSP applications. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Shugang Wei, Shuangching Chen, Kensuke Shimizu Fast modular multiplication using Booth recoding based on signed-digit number arithmetic. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Raj S. Katti A modified Booth algorithm for high radix fixed-point multiplication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
45Jos van Sas, Chay Nowé, Didier Pollet, Francky Catthoor, Paul Vanoostende, Hugo De Man Design of a C-testable booth multiplier using a realistic fault model. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF test generation, design for testability, fault modelling, Array multipliers, C-testability
45Dimitris Bekiaris, Kiamal Z. Pekmestzi, Christos A. Papachristou A high-speed radix-4 multiplexer-based array multiplier. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modified booth, multiplexer-based, radix-4 multiplier, array multiplier
45Peter-Michael Seidel, Lee D. McFearin, David W. Matula Secondary Radix Recodings for Higher Radix Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF recoding, high radix, digit set, mixed radix representation, partial product reduction, Booth recoding, Binary multiplication
45Eric M. Schwarz, Robert M. Averill III, Leon J. Sigal A Radix-8 CMOS S/390 Multiplier. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Booth algorithm, computer arithmetic, multiplication, multiplier, floating-point unit
45Chetana Nagendra, Robert Michael Owens, Mary Jane Irwin Design tradeoffs in high speed multipliers and FIR filters. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF high speed multipliers, high speed FIR filters, modified Booth recoding, pipeline granularity, transistor count, activity factor reduction, guarded evaluation, gate-level pipelining, half-bit level pipelining, bit-level pipelining, delay, clocking, digital filters, FIR filters, multiplying circuits, power dissipation, design tradeoffs, pipeline arithmetic, operation speed
39Seokho Lee, Youngmin Kim Booth Fusion: Efficient Bit Fusion Multiplier with Booth Encoding. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Hyunpil Kim, Sangook Moon, Yong-Surk Lee Radix-16 Booth multiplier using novel weighted 2-stage Booth algorithm. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
39Wolfgang J. Paul, Peter-Michael Seidel To Booth or not to Booth. Search on Bibsonomy Integr. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Louis P. Rubinfield A Proof of the Modified Booth's Algorithm for Multiplication. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Modified Booth's algorithm, multiplicand, multiplier, partial product
39Jung-Yup Kang, Jean-Luc Gaudiot A Simple High-Speed Multiplier Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Booth, modified Booth, Multiplier, partial products
38Peter Heinzmann, Lothar Müller, Stéphane Racine, Ljiljana Vukelja The Fototeddy Strategy - Web Site Attraction Through Physical Interaction. Search on Bibsonomy ENTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF web site promotion, human computer interaction, user experience, gesture control
38Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 A New Pipelined Array Architecture for Signed Multiplication. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 A New Architecture for Signed Radix-2m Pure Array Multipliers. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Peter-Michael Seidel, Lee D. McFearin, David W. Matula Binary Multiplication Radix-32 and Radix-256. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Mihalis Psarakis, Antonis M. Paschalis, Nektarios Kranitis, Dimitris Gizopoulos, Yervant Zorian Robust and Low-Cost BIST Architectures for Sequential Fault Testing in Datapath Multipliers. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Wen-Lian Hsu PC-Trees vs. PQ-Trees. Search on Bibsonomy COCOON The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Tim Courtney, Richard H. Turner, Roger F. Woods Multiplexer Based Reconfiguration for Virtex Multipliers. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Joe Booth, Jackson Booth Marathon Environments: Multi-Agent Continuous Control Benchmarks in a Modern Video Game Engine. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
38Larry Booth, Elizabeth Vidal de Garcia, Vickie Booth, Eveling G. Castro Gutierrez Going Global: A Faculty Connection between Peru and the United States. Search on Bibsonomy AMCIS The full citation details ... 2010 DBLP  BibTeX  RDF
38David Booth, Stephane Booth On the mathematics behind the entropy diversification measure in strategic management. Search on Bibsonomy Int. J. Math. Oper. Res. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Kam Fui Lau, Art Gowan, Fred Hartfield, Vickie Booth, Larry Booth, Wayne Summers The Georgia webBSIT: profile of an online student. Search on Bibsonomy SIGITE Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF e-learning, online learning, distance education
38Kam Fui Lau, Han Reichgelt, Vickie Booth, Larry Booth, Art Gowan The Georgia WebBSIT: an innovative collaborative online baccalaureate degree in information technology. Search on Bibsonomy SIGITE Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF e-learning, online learning, distance education
32Chiou-Yng Lee, Yu-Hsin Chiu, Che Wun Chiou New Bit-Parallel Systolic Multiplier over GF(2m) Using The Modified Booth's Algorithm. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Daniel Marienfeld, Egor S. Sogomonyan, Vitalij Ocheretnij, Michael Gössel New Self-checking Output-Duplicated Booth Multiplier with High Fault Coverage for Soft Errors. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Aristides Efthymiou, W. Suntiamorntut, Jim D. Garside, L. E. M. Brackenbury An Asynchronous, Iterative Implementation of the Original Booth Multiplication Algorithm. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Jin-Hua Hong, Cheng-Wen Wu Cellular-array modular multiplier for fast RSA public-key cryptosystem based on modified Booth's algorithm. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Hao-Yung Lo, Hsiu-Feng Lin, Chichyang Chen, Jenshiuh Liu, Chia-Cheng Liu Built-in Test with Modified-Booth High-Speed Pipelined Multipliers and Dividers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generator, BIST, computer arithmetic, polynomials, VLSI design, multiplication, division
32Shugang Wei, Kensuke Shimizu Modulo (2p ± 1) multipliers using a three-operand modular addition and Booth recoding based on signed-digit number arithmetic. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Kiwon Choi, Minkyu Song Design of a high performance 32×32-bit multiplier with a novel sign select Booth encoder. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Michael Nicolaidis, Ricardo de Oliveira Duarte Fault-Secure Parity Prediction Booth Multipliers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Kazue Sako, Joe Kilian Receipt-Free Mix-Type Voting Scheme - A Practical Solution to the Implementation of a Voting Booth. Search on Bibsonomy EUROCRYPT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
32Philip E. Madrid, Brian Millar, Earl E. Swartzlander Jr. Modified Booth algorithm for high radix fixed-point multiplication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
32Jalil Fadavi-Ardekani M×N Booth encoded multiplier generator using optimized Wallace trees. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
32Bonseok Koo, Dongwook Lee, Gwonho Ryu, Taejoo Chang, Sangjin Lee 0002 High-Speed RSA Crypto-processor with Radix-4 Modular Multiplication and Chinese Remainder Theorem. Search on Bibsonomy ICISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Booth’s algorithm, RSA, Chinese Remainder Theorem, Montgomery multiplication, Carry Save Adder
32Yijun Liu, Stephen B. Furber The design of a low power asynchronous multiplier. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Booth's algorithm, low power, benchmark, multiplier, asynchronous logic
32Wieland Fischer, Jean-Pierre Seifert High-Speed Modular Multiplication. Search on Bibsonomy CT-RSA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Sedlaks algorithm, Computer arithmetic, Modular multiplication, Implementation issues, Booth recoding
32Wieland Fischer, Jean-Pierre Seifert Unfolded Modular Multiplication. Search on Bibsonomy ISAAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Sedlaks algorithm, Computer arithmetic, Modular multiplication, Implementation issues, Booth recoding
32Geoff Knagge, David Garrett, Sivarama Venkatesan, Chris Nicol Matrix datapath architecture for an iterative 4x4 MIMO noise whitening algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF matrix multipliction, noise whitening, MIMO, booth recoding
32Michael J. Schulte, James E. Stine Symmetric Bipartite Tables for Accurate Function Approximation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF approximations, computer arithmetic, error analysis, table lookup, Elementary functions, Taylor series, symmetric, bipartite, Booth encoding, accurate
32Mike Tien-Chien Lee, Vivek Tiwari, Sharad Malik, Masahiro Fujita Power analysis and low-power scheduling techniques for embedded DSP software. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circuit state, embedded DSP software, general-purpose commercial microprocessors, instruction-level power model, measurement based power analysis, micro-architectural power model, on-chip Booth multiplier, scheduling, real-time systems, application specific integrated circuits, energy consumption, scheduling algorithm, power analysis, energy minimization, circuit CAD, digital signal processing chips, instruction sets, energy reduction, low-power scheduling, DSP processor
32Menghui Zheng, Alexander Albicki Low power and high speed multiplication design through mixed number representations. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high speed multiplication, mixed number representations, low power multiplication, reduced switching, Sign-Magnitude, Redundant Binary adder, Booth decoder, Carry-Propagation-Free, digital arithmetic, VLSI architecture, redundant number systems, Partial Products
32Suthikshn Kumar, Kevin E. Forward, Marimuthu Palaniswami A fast-multiplier generator for FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fast-multiplier generator, variable word length multipliers, Booth encoded optimized Wallace tree architecture, field programmable gate arrays, FPGAs, parallel architectures, artificial neural networks, multiplying circuits, FPGA architecture, neural chips
32Richard Booth 0001, Thomas Andreas Meyer On the Dynamics of Total Preorders: Revising Abstract Interval Orders. Search on Bibsonomy ECSQARU The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Minhyeok Shin, Hanho Lee A high-speed four-parallel radix-24 FFT/IFFT processor for UWB applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26E. Vincent Cross II, Yolanda McMillian, Priyanka Gupta, Philicity Williams, Kathryn Nobles, Juan E. Gilbert Prime III: a user centered voting system. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Cecilia Katzeff, Vanessa Ware Video storytelling as mediation of organizational learning. Search on Bibsonomy NordiCHI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF music festival, volunteer work, video, storytelling, communities of practice, workplace learning
26Mateus Fonseca, Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 Design of a radix-2m hybrid array multiplier using carry save adder format. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hybrid multiplier, low power, carry save adder
26Li-Hsun Chen, Oscal T.-C. Chen, Teng-Yi Wang, Yung-Cheng Ma A multiplication-accumulation computation unit with optimized compressors and minimized switching activities. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Li-Hsun Chen, Oscal T.-C. Chen A hardware-efficient FIR architecture with input-data and tap folding. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Oscal T.-C. Chen, Sandy Wang, Yi-Wen Wu Minimization of switching activities of partial products for designing low-power multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26David W. Matula, Alex Fit-Florea Prescaled Integer Division. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Masayuki Abe, Koutarou Suzuki Receipt-Free Sealed-Bid Auction. Search on Bibsonomy ISC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bid-rigging, receipt-free, chameleon bit-commitment, Sealed-bid auction
26Nan-Ying Shen, Oscal T.-C. Chen Low-power multipliers by minimizing switching activities of partial products. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Johann Großschädl A unified radix-4 partial product generator for integers and binary polynomials. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Oscal T.-C. Chen, Wei-Lung Liu An FIR processor with programmable dynamic data ranges. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Stuart F. Oberman, Hesham A. Al-Twaijry, Michael J. Flynn The SNAP Project: Design of Floating Point Arithmetic Unit. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance-area tradeoffs, computer arithmetic, multiplication, division, Addition, floating point unit
20Srikant Kumar Beura, Sudeshna Manjari Mahanta, Bishnulatpam Pushpa Devi, Prabir Saha Inexact radix-4 Booth multipliers based on new partial product generation scheme for image multiplication. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Srikanth Immareddy, Arunmetha Sundaramoorthy, Aravindhan Alagarsamy Design and implementation of hybrid (radix-8 Booth and TRAM) approximate multiplier using 15-4 approximate compressors for image processing application. Search on Bibsonomy J. Real Time Image Process. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Muhammad Hamis Haider, Hao Zhang 0041, Seok-Bum Ko Decoder Reduction Approximation Scheme for Booth Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Xinhui Kang, Shin'ya Nagasawa Integrating evaluation grid method and support vector regression for automobile trade booth design. Search on Bibsonomy J. Intell. Fuzzy Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Yongxia Sheng, Huaguo Liang, Bao Fang, Cuiyun Jiang, Zhengfeng Huang, Maoxiang Yi, Yingchun Lu Design of approximate Booth multipliers based on error compensation. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Gunho Park, Jaeha Kung, Youngjoo Lee Simplified Compressor and Encoder Designs for Low-Cost Approximate Radix-4 Booth Multiplier. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Quan Cheng, Liuyao Dai, Mingqiang Huang, Ao Shen, Wei Mao 0002, Masanori Hashimoto, Hao Yu 0001 A Low-Power Sparse Convolutional Neural Network Accelerator With Pre-Encoding Radix-4 Booth Multiplier. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Muhammad Hamis Haider, Seok-Bum Ko Booth Encoding-Based Energy Efficient Multipliers for Deep Learning Systems. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Basant Kumar Mohanty Efficient Approximate Multiplier Design Based on Hybrid Higher Radix Booth Encoding. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Michael Madden 0003, Dan Szafaran, Philomena Gray, Justin Pelletier, Ted Selker A Canary in the Voting Booth: Attacks on a Virtual Voting Machine. Search on Bibsonomy ICDF2C (1) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Zainab Aizaz, Kavita Khare, Aizaz Tirmizi FASBM: FPGA-specific Approximate Sum-based Booth multipliers for energy efficient Hardware Acceleration of Image Processing and Machine Learning Applications. Search on Bibsonomy FCCM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Keshav Thosar, Hargobind Singh, Sreejit Chatterjee, Dayanand Ambawade Blockchain-based Booth-less Tolling System using GPS and Image Processing. Search on Bibsonomy AIIoT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Eunice Gabrielle A. Reyes, Gerome Kaye M. Cangco, Shiela Mae C. Ilagan, Hazel P. Pacunayen, Jeunise A. Piamonte, Josephine D. German An Application of Queueing Theory on the Ticketing Booth of Light Rail Transit 1 (LRT-1) Central Station. Search on Bibsonomy MSIE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1332 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license