The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Cache-Coherency (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1992 (15) 1993-1996 (19) 1997-1999 (23) 2000-2004 (26) 2005-2007 (22) 2008-2009 (21) 2010-2021 (15) 2022 (2)
Publication types (Num. hits)
article(30) inproceedings(112) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 215 occurrences of 166 keywords

Results
Found 143 publication records. Showing 143 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
137Balachander Krishnamurthy, Craig E. Wills Proxy Cache Coherency and Replacement - Towards a More Complete Picture. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
97Sandhya Narayan, Julee Pandya, Prasant Mohapatra, Dipak Ghosal Analysis of Windowing and Peering Schemes for Cache Coherency in Mobile Devices. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
89Yang Zeng, Santosh G. Abraham Partitioning regular grid applications with irregular boundaries for cache-coherent multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partitioning regular grid applications, irregular boundaries, cache-coherent multiprocessors, regular grid, domain decomposition techniques, message passing multiprocessors, false coherency traffic, cache line, coalescing algorithm, domain decomposition algorithm, Indian Ocean circulation application, KSR1 multiprocessor, coherency traffic, message passing, multiprocessing systems, interprocessor communication
85John A. Reisner, Tom S. Wailes A Cache Coherency Protocol for Optically Connected Parallel Computer Systems. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel processing, Cache coherency, computer simulation, wavelength division multiplexing, time division multiplexing, optical interconnection networks
83Jih-Fu Tu Cache Management for Discrete Processor Architectures. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency
83Evgeny Bolotin, Zvika Guz, Israel Cidon, Ran Ginosar, Avinoam Kolodny The Power of Priority: NoC Based Distributed Cache Coherency. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
71Chun-Mok Chung, Jihong Kim 0001, Dohyung Kim Reducing snoop-energy in shared bus-based mpsocs by filtering useless broadcasts. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF broadcast filtering, low-energy cache coherency, MPSoC
70Avi Mendelson, Freddy Gabbay The effect of seance communication on multiprocessing systems. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multicache systems, seance communication, performance analysis, cache coherency protocols
67Kenin Coloma, Alok N. Choudhary, Wei-keng Liao, Lee Ward, Eric Russell, Neil Pundit Scalable High-level Caching for Parallel I/O. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Gustavo Girão, Bruno Cruz de Oliveira, Rodrigo Soares, Ivan Saraiva Silva Cache coherency communication cost in a NoC-based MPSoC platform. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache coherence, MPSoC, NoC, directory
63Michel Dubois 0001, Faye A. Briggs Effects of cache coherency in multiprocessors. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
59KyungOh Ohn, Haengrae Cho Maintaining Cache Coherency for B+ Tree Indexes in a Shared Disks Cluster. Search on Bibsonomy VECPAR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cluster and Grid Computing, Data Processing, Parallel and Distributed Computing
58Hai Wan, Lei Li 0022 Design and Implementation of Semantic Caching Coherency Control Scheme Toward Distributed Environment. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56John P. Sustersic, Ali R. Hurson A Quality of Service (QoS) Implementation of Internet Cache Coherence. Search on Bibsonomy AINA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Michael S. Allen, W. Kurt Lewchuk, J. D. Coddington A high performance bus and cache controller for PowerPC multiprocessing systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high performance bus, cache controller, PowerPC 620 microprocessor, system bus interface, ECC protected, server-class systems, physical address bus, data bus, address transfer rates, address snoop response, direct cache-to-cache data transfers, 2 GByte/s, 133 MHz, 128 MB, performance evaluation, pipelining, multiprocessing systems, multiprocessing systems, pipeline processing, cache storage, microprocessor chips, coprocessors, cache coherency protocol, data transfer, PowerPC, system buses, co-processor
55Andreas Nowatzyk, Gunes Aybay, Michael C. Browne, Edmund J. Kelly, Michael Parkin, Bill Radke, Sanjay Vishin Exploiting Parallelism in Cache Coherency Protocol Engines. Search on Bibsonomy Euro-Par The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
55Diana Hecht, Krishna M. Kavi, Rhonda Kay Gaede, Constantine Katsinis Fault-Tolerance Using Cache-Coherent Distributed Shared Memory Systems. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Backward recovery, Directory-Based Protocols, Checkpointing, Distributed Shared Memory, Conversations, Cache-Coherency, Recovery Blocks
52Song Gao, Wee Siong Ng, Weining Qian, Aoying Zhou CC-Buddy: an adaptive framework for maintaining cache coherency using peers. Search on Bibsonomy WWW (Alternate Track Papers & Posters) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF peer-to-peer, cache coherency, dynamic data
52Amy P. Felty, Frank A. Stomp Cache Coherency in SCI: Specification and a Sketch of Correctness. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF SCI (Scalable Coherent Interface), Distributed systems, Formal verification, Temporal logic, Cache coherency, IEEE standard
52Santosh G. Abraham, David E. Hudak Compile-Time Partitioning of Iterative Parallel Loops to Reduce Cache Coherency Traffic. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF adaptive data partitioning, iterative parallel loops, cache coherency traffic, ADP, communication-reducing back end, machine-specific partitioner, multiprocessor model, programexecution factors, vector notation, global data set, arrayaccesses, underlying system architecture, cache line sizes, hexagonal partitions, parallel programming, parallel machines, program compilers, parallelizing compilers, buffer storage, interprocessor communication, interprocessor communication, communication patterns, parallelprograms
49Jun Cai, Kian-Lee Tan Energy-efficient selective cache invalidation. Search on Bibsonomy Wirel. Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Cosmin E. Oancea, Alan Mycroft A Lightweight Model for Software Thread-Level Speculation (TLS). Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Alexander Heinecke, Carsten Trinitis, Josef Weidendorfer Porting existing cache-oblivious linear algebra HPC modules to larrabee architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF accelerator space-filling curve, openmp, matrix multiplication, cache-oblivious, lu decomposition, manycore
44Jan Willem van den Brand, Marco Bekooij Streaming consistency: a model for efficient MPSoC design. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Freddy Gabbay, Avi Mendelson Smart: An Advanced Shared-Memory Simulator - Towards a System-Level Simulation Environmen. Search on Bibsonomy MASCOTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Multi-cache simulator, Performance analysis, Cache coherency protocols
44Shigeaki Iwasa, Shung Ho Shing, Hisashi Mogi, Hiroshi Nozuwe, Hiroo Hayashi, Osamu Wakamori, Takashi Ohmizo, Kuninori Tanaka, Hiroshi Sakai, Mitsuo Saito SSM-MP: more scalability in shared-memory multi-processor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SSM-MP, shared-memory multi-processor, cache refill latency, bus bottle neck problem, MTag, scalability, shared memory systems, cache coherency, memory architecture, multi-processor system
41Anne Bracy, Kshitij Doshi, Quinn Jacobson Disintermediated Active Communication. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Karen A. Tomko, Santosh G. Abraham Data and program restructuring of irregular applications for cache-coherent multiprocessor. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Frédéric Pétrot, Alain Greiner, Pascal Gomez On Cache Coherency and Memory Consistency Issues in NoC Based Shared Memory Multiprocessor SoC Architectures. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Haengrae Cho Performance of Cache Coherency Schemes in a Shared Disks Transaction Environment . Search on Bibsonomy FTDCS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
40Krishna M. Kavi, Wentong Li, Ali R. Hurson A Non-blocking Multithreaded Architecture with Support for Speculative Threads. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache Coherency, Thread Level Speculation, Multithreaded Architectures, Decoupled Architecture
40Sangho Lee, KyungOh Ohn, Haengrae Cho Feasibility and Performance Study of a Shared Disks Cluster for Real-Time Processing. Search on Bibsonomy AIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Performance evaluation, cluster computing, transaction processing, cache coherency, real-time processing
40Stephen Lucci, Izidor Gertner, Anil Gupta, Uday Hegde Reflective-memory multiprocessor. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reflective-memory multiprocessor, hardware-supported data replication, multiple computers, memory semantics, reflective memory implementation, Encore Infinity, spinlocks, cache coherency problems, massive replication, recovery procedure, crashed nodes, reliability, fault tolerant computing, shared memory systems, distributed memory systems, system recovery, cache storage, cached architectures, distributed shared memory multiprocessor
37Vijay Nagarajan, Rajiv Gupta 0001 Architectural support for shadow memory in multiprocessors. Search on Bibsonomy VEE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF coupled coherence, shadow memory
34Martti Forsell Reducing the associativity and size of step caches in CRCW operation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Arun S. Nair, Aboli Vijayan Pai, Biju K. Raveendran, Geeta Patil MOESIL: A Cache Coherency Protocol for Locked Mixed Criticality L1 Data Cache. Search on Bibsonomy DS-RT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Daniel Hackenberg, Daniel Molka, Wolfgang E. Nagel Comparing cache architectures and coherency protocols on x86-64 multicore SMP systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nehalem, Shanghai, benchmark, multi-core, coherency
33Rajdeep Bhowmik, Chaitali Gupta, Madhusudhan Govindaraju, Aneesh Aggarwal Optimizing XML processing for grid applications using an emulation framework. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Mikhail Mikhailov, Craig E. Wills Evaluating a new approach to strong web cache consistency with snapshots of collected content. Search on Bibsonomy WWW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF change characteristics, collected content, object relationships, server invalidation, web caching, cache consistency, object composition
30Andreas Apostolakis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Functional Self-Testing for Bus-Based Symmetric Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Chinnakrishnan S. Ballapuram, Ahmad Sharif, Hsien-Hsin S. Lee Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MESI protocol, internal and external snoops, self-modifying code, chip multiprocessors
26Anant Agarwal, David A. Kranz, Rajeev Barua, Venkat Natarajan Optimal Tiling for Minimizing Communication in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy Compiler Optimizations for Scalable Parallel Systems Languages The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Hiroaki Fujii, Yoshiko Yasuda, Hideya Akashi, Yasuhiro Inagami, Makoto Koga, Osamu Ishihara, Masamori Kashiyama, Hideo Wada, Tsutomu Sumimoto Architecture and Performance of the Hitachi SR2201 Massively Parallel Processor System. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Koen Langendoen, Henk L. Muller, Louis O. Hertzberger Evaluation of Futurebus Hierarchical Caching. Search on Bibsonomy PARLE (1) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26Abishek Ramdas, Michael Giardino, Runbin Shi, Adam Turowski, David A. Cock, Gustavo Alonso, Timothy Roscoe ECI: a Customizable Cache Coherency Stack for Hybrid FPGA-CPU Architectures. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Arthur Vianès, Frédéric Pétrot, Frédéric Rousseau 0001 A Case for Second-Level Software Cache Coherency on Many-Core Accelerators. Search on Bibsonomy RSP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Seungwon Min, Sitao Huang, Mohamed El-Hadedy 0001, Jinjun Xiong, Deming Chen, Wen-Mei Hwu Analysis and Optimization of I/O Cache Coherency Strategies for SoC-FPGA Device. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
26Seungwon Min, Sitao Huang, Mohamed El-Hadedy 0001, Jinjun Xiong, Deming Chen, Wen-Mei Hwu Analysis and Optimization of I/O Cache Coherency Strategies for SoC-FPGA Device. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Jussara Marandola, Stéphane Louise, Loïc Cudennec Pattern Based Cache Coherency Architecture for Embedded Manycores. Search on Bibsonomy ICCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Paolo Grani, Robert Hendry, Sandro Bartolini, Keren Bergman Boosting multi-socket cache-coherency with low-latency silicon photonic interconnects. Search on Bibsonomy ICNC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Julio Pérez Acle, Riccardo Cantoro, Ernesto Sánchez 0001, Matteo Sonza Reorda On the functional test of the cache coherency logic in multi-core systems. Search on Bibsonomy LASCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26José L. Abellán, Alberto Ros 0001, Juan Fernández Peinador, Manuel E. Acacio ECONO: Express coherence notifications for efficient cache coherency in many-core CMPs. Search on Bibsonomy ICSAMOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Omar Hammami, Xinyu Li NOC based MPSOC directory based cache coherency with OCP-IP protocol. Search on Bibsonomy IDT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26José L. Abellán, Alberto Ros 0001, Juan Fernández 0001, Manuel E. Acacio Efficient Dir0B Cache Coherency for Many-Core CMPs. Search on Bibsonomy ICCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26John Shield, Jean-Philippe Diguet, Guy Gogniat Asymmetric Cache Coherency: Policy Modifications to Improve Multicore Performance. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Freek Verbeek, Julien Schmaltz Towards the formal verification of cache coherency at the architectural level. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26John Shield, Jean-Philippe Diguet, Guy Gogniat Asymmetric cache coherency: Improving multicore performance for non-uniform workloads. Search on Bibsonomy ReCoSoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Hajer Chtioui Gestion de la cohérence des données dans les systèmes multiprocesseurs sur puce. (Managing cache coherency of shared data in shared memory multiprocessor systems-on-chip). Search on Bibsonomy 2011   RDF
26Daniel Molka, Daniel Hackenberg, Robert Schöne, Matthias S. Müller Memory Performance and Cache Coherency Effects on an Intel Nehalem Multiprocessor System. Search on Bibsonomy PACT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Hajer Chtioui, Rabie Ben Atitallah, Smaïl Niar, Jean-Luc Dekeyser, Mohamed Abid A Dynamic Hybrid Cache Coherency Protocol for Shared-Memory MPSoC. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 DiCo-CMP: Efficient cache coherency in tiled CMP architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Hui Wang, Sandeep Baldawa, Rama Sangireddy Dynamic Error Detection for Dependable Cache Coherency in Multicore Architectures. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Robert Kunz, Mark Horowitz The case for simple, visible cache coherency. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SpecOMP2001, shared-memory multiprocessor, FLASH, coherence protocol, cc-NUMA, software optimization, performance bottlenecks
26Austin Hung, William D. Bishop, Andrew A. Kennings Enabling Cache Coherency for N-Way SMP Systems on Programmable Chips. Search on Bibsonomy ERSA The full citation details ... 2004 DBLP  BibTeX  RDF
26José Aguilar 0001, Ernst L. Leiss A General Adaptive Cache Coherency-Replacement Scheme for Distributed Systems. Search on Bibsonomy IICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26José Aguilar 0001, Ernst L. Leiss A Web Proxy Cache Coherency and Replacement Approach. Search on Bibsonomy Web Intelligence The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Adam Belloum, Bob Hertzberger Maintaining Web cache coherency. Search on Bibsonomy Inf. Res. The full citation details ... 2000 DBLP  BibTeX  RDF
26Freddy Gabbay, Avi Mendelson The "Smart" simulation environment - A tool-set to develop new cache coherency protocols. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Jianliang Xu, Xueyan Tang, Dik Lun Lee, Qinglong Hu Cache Coherency in Location-Dependent Information Services for Mobile Environment. Search on Bibsonomy MDA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Balachander Krishnamurthy, Craig E. Wills Piggyback Server Invalidation for Proxy Cache Coherency. Search on Bibsonomy Comput. Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Haengrae Cho, Jang-Suk Park Maintaining cache coherency in a multisystem data sharing environment. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Hakim Kahlouche, César Viho, Massimo Zendri An Industrial Experiment in Automatic Generation of Executable Test Suites for a Cache Coherency Protocol. Search on Bibsonomy IWTCS The full citation details ... 1998 DBLP  BibTeX  RDF
26Fernando de Ferreira Rezende, Victoria Hall A Cache Coherency Strategy for a Client/Server KBMS. Search on Bibsonomy Datenbank Rundbr. The full citation details ... 1997 DBLP  BibTeX  RDF
26Jun Cai, Kian-Lee Tan, Beng Chin Ooi On Incremental Cache Coherency Schemes in Mobile Computing Environments. Search on Bibsonomy ICDE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Andreas Listl, Giannis Bozas Performance Gains Using Subpages for Cache Coherency Control. Search on Bibsonomy DEXA Workshops The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Craig Anderson 0001, Anna R. Karlin Two Adaptive Hybrid Cache Coherency Protocols. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Boris Klots Cache Coherency in Oracle Parallel Server. Search on Bibsonomy VLDB The full citation details ... 1996 DBLP  BibTeX  RDF
26John C. Chu, Kwan AuYeung, Patrick W. Dowd Interaction Of Cache Coherency And Media Access Protocols In The Optically Interconnected Distributed Memory Environment. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
26Alan L. Cox, Robert J. Fowler Adaptive Cache Coherency for Detecting Migratory Shared Data. Search on Bibsonomy ISCA The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
26Jen-Tien Yen, Behrooz A. Shirazi, Krishna M. Kavi A New Cache Coherency and Address Translation Consistency Protocol. Search on Bibsonomy ICPP (1) The full citation details ... 1992 DBLP  BibTeX  RDF
26Wisam Michael Directory-based cache coherency protocol for a ring-connected multiprocessor-array. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
26Jack E. Veenstra, Robert J. Fowler A Performance Evaluation of Optimal Hybrid Cache Coherency Protocols. Search on Bibsonomy ASPLOS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
26Norman S. Matloff An argument against scalable cache coherency. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26Susan J. Eggers Simplicity Versus Accuracy in a Model of Cache Coherency Overhead. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26Gautam Dewan, Prasenjit Biswas A snooping cache coherency protocol for hierarchically organized multiprocessors. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26Kanad Ghose, Sreenivas Simhadri A Cache Coherency Mechanism with Limited Combining Capabilities for MIN-Based Multiprocessors. Search on Bibsonomy ICPP (1) The full citation details ... 1991 DBLP  BibTeX  RDF
26Bett Koch, Tracy Schunke, Alan Dearle, Francis Vaughan, Chris D. Marlin, Ruth Fazakerley, Chris J. Barter Cache Coherency and Storage Management in a Persistent Object System. Search on Bibsonomy POS The full citation details ... 1990 DBLP  BibTeX  RDF
26Susan J. Eggers, Randy H. Katz Evaluating the Performance of Four Snooping Cache Coherency Protocols. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Michel Dubois 0001, Faye A. Briggs Effects of Cache Coherency in Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
26Li Zhang 0034, Chris R. Jesshope On-Chip COMA Cache-Coherence Protocol for Microgrids of Microthreaded Cores. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Victor Melamed, Harry Stuimer, David Wilkins, Lawrence Chang, Kevin Normoyle, Sutikshan Bhutani Innovative Verification Techniques Used in the Implementation of a Third-Generation 1.1GHz 64b Microprocessor. Search on Bibsonomy FORTE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Paul J. Roy, David B. Noveck, W. J. Bryant State Management in a Distributed UNIX System. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Ásgeir Th. Eiríksson, Kenneth L. McMillan Using Formal Verification/Analysis Methods on the Critical Path in System Design: A Case Study. Search on Bibsonomy CAV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Paul E. West, Yuval Peress, Gary S. Tyson, Sally A. McKee Core monitors: monitoring performance in multicore processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, debugging, profiling, multicore, cache coherency, performance monitoring, realtime
26Stéphane Zuckerman, Marc Pérache, William Jalby Fine Tuning Matrix Multiplications on Multicore. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multicore, cache coherency, BLAS
26John R. Feehrer, Paul Rotker, Milton Shih, Paul Gingras, Peter Yakutis, Stephen Phillips, John Heath, Sebastian Turullols Coherency Hub Design for Multi-Node Victoria Falls Server Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threaded processor cores, multi-node CMT systems, serial interconnects, packet switching, cache coherency
26Razvan Cheveresan, Matthew Ramsay, Chris Feucht, Ilya Sharapov Characteristics of workloads used in high performance and technical computing. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction decomposition, cache coherency, workload characterization, HPC, data locality, software prefetch
26KyungOh Ohn, Haengrae Cho Dynamic Affinity Cluster Allocation in a Shared Disks Cluster. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transaction routing, affinity clustering, load balancing, cluster computing, transaction processing, cache coherency, shared disks
26Gerrit Saylor, Badriddine Khessib Large scale Itanium® 2 processor OLTP workload characterization and optimization. Search on Bibsonomy DaMoN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache coherency, data partitioning, performance characterization, profile guided optimization, OLTP, software optimization, Itanium, ccNUMA
26Roger Espasa, Federico Ardanaz, Julio Gago, Roger Gramunt, Isaac Hernandez, Toni Juan, Joel S. Emer, Stephen Felix, P. Geoffrey Lowney, Matthew Mattina, André Seznec Tarantula: A Vector Extension to the Alpha Architecture. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Power, Microprocessor, Bandwidth, High Performance, Cache Coherency, Virtual Memory, Instruction Set Architecture, Vector Processor
Displaying result #1 - #100 of 143 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license