The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FSM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1979-1989 (17) 1990-1991 (27) 1992-1993 (30) 1994 (19) 1995 (22) 1996 (29) 1997 (20) 1998 (24) 1999 (32) 2000 (28) 2001 (23) 2002 (34) 2003 (35) 2004 (50) 2005 (55) 2006 (50) 2007 (65) 2008 (59) 2009 (29) 2010 (19) 2011-2012 (24) 2013-2014 (29) 2015 (18) 2016 (15) 2017 (24) 2018 (27) 2019 (30) 2020 (15) 2021-2022 (32) 2023 (17)
Publication types (Num. hits)
article(219) book(1) inproceedings(675) phdthesis(3)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 769 occurrences of 468 keywords

Results
Found 898 publication records. Showing 898 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
129Chunduri Rama Mohan, Partha Pratim Chakrabarti A new approach for factorizing FSM's. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
110Lin Yuan, Gang Qu 0001, Tiziano Villa, Alberto L. Sangiovanni-Vincentelli FSM re-engineering and its application in low power state encoding. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
109Anzhela Yu. Matrosova, Sergey Ostanin Self-Checking FSM Design with Observing only FSM Outputs. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Self-checking design, unidirectional fault, PLA description, multilevel synthesis, FSM
93Lin Yuan, Gang Qu 0001 Information Hiding in Finite State Machine. Search on Bibsonomy Information Hiding The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
92Lin Yuan, Gang Qu 0001, Tiziano Villa, Alberto L. Sangiovanni-Vincentelli An FSM Reengineering Approach to Sequential Circuit Synthesis by State Splitting. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
92Michael Affenzeller, Franz Pichler, Rudolf Mittelmann On CAST.FSM Computation of Hierarchical Multi-layer Networks of Automata. Search on Bibsonomy EUROCAST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
92Biplab K. Sikdar, Arijit Sarkar, Samir Roy, Debesh K. Das Synthesis of Testable Finite State Machine Through Decomposition. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF emitability, FSM state encoding, decomposition, reachability, degree-of-freedom
91Chunduri Rama Mohan, Partha Pratim Chakrabarti Combined optimization of area and testability during state assignment of PLA-based FSM's. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combined optimization, testability optimisation, PLA-based FSM, EARTH algorithm, single cross-point faults, redundancy checker, fault diagnosis, logic testing, redundancy, finite state machines, integrated circuit testing, design for testability, fault model, logic CAD, programmable logic arrays, circuit layout CAD, circuit optimisation, integrated circuit layout, state assignment, state assignment, minimisation of switching nets, single stuck-at faults, area minimization
83José C. Monteiro 0001, Arlindo L. Oliveira Implicit FSM decomposition applied to low-power design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
73Valeri Solovjev Refined CPLD Macrocell Architecture for the Effective FSM Implementation. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
72Ioan Tabus, Jorma Rissanen, Jaakko Astola Adaptive L-Predictors Based on Finite State Machine Context Selection. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF adaptive L-predictors, finite state machine context selection, adaptive nonlinear predictors, FSM context modeller, adaptive design, FSM-context L-predictor, image coding, lossless compression, gray level images
65Sezer Gören 0001, F. Joel Ferguson Test sequence generation for controller verification and test with high coverage. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF finite state machine, Fault coverage, black box testing, X-machine
65Richard Raimi, Ramin Hojati, Kedar S. Namjoshi Environment modeling and language universality. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF language universality, model checking, abstraction, environment modeling
65Franco Fummi, U. Rovati, Donatella Sciuto Functional design for testability of control-dominated architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interacting FSMs, functional testing
65Chien-Nan Jimmy Liu, Jing-Yang Jou An Efficient Functional Coverage Test for HDL Descriptions at RTL. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF verification, coverage, FSM, HDL
64Cao Cao, Bengt Oelmann Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Valeri Solovjev Synthesis of Sequential Circuits on Programmable Logic Devices Based on New Models of Finite State Machines. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
56José C. Monteiro 0001, Arlindo L. Oliveira Finite State Machine Decomposition For Low Power. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF system-on-chip test, testing embedded core, intellectual property test
55Nina Yevtushenko 0001, Tiziano Villa, Robert K. Brayton, Alexandre Petrenko, Alberto L. Sangiovanni-Vincentelli Compositionally Progressive Solutions of Synchronous FSM Equations. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Language equations, Progressive solutions, Synchronous composition, Finite state machines
55Khaled El-Fakih, Nina Yevtushenko 0001 Progressive Solutions to FSM Equations. Search on Bibsonomy CIAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Dohyung Kim 0007, Soonhoi Ha Static analysis and automatic code synthesis of flexible FSM model. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Alexandre Petrenko, Nina Yevtushenko 0001 Conformance Tests as Checking Experiments for Partial Nondeterministic FSM. Search on Bibsonomy FATES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Dominik Stoffel, Markus Wedler, Peter Warkentin, Wolfgang Kunz Structural FSM traversal. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Richard J. Busman FSM - a fullscreen manager. Search on Bibsonomy APL The full citation details ... 1987 DBLP  DOI  BibTeX  RDF APL
54Biplab K. Sikdar, Samir Roy, Debesh K. Das A Degree-of-Freedom Based Synthesis Scheme for Sequential Machines with Enhanced BIST Quality and Reduced Area. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF emitability, FSM state encoding, reachability, degree-of-freedom
54Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee Efficient equivalence checking of multi-phase designs using phase abstraction and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF binary decision, encoding density, multi-phase FSM, product machine, sequential hardware equivalence, diagram, steady states
46Minh D. Nguyen, Max Thalmaier, Markus Wedler, Jörg Bormann, Dominik Stoffel, Wolfgang Kunz Unbounded Protocol Compliance Verification Using Interval Property Checking With Invariants. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Hermann Kopetz, Christian El Salloum, Bernhard Huber, Roman Obermaisser Periodic Finite-State Machines. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Ali Habibi, Haja Moinudeen, Sofiène Tahar Generating finite state machines from SystemC. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46ChangRyul Yun, YoungHwan Bae, HanJin Cho, KyoungSon Jhang Automatic Synthesis of Interface Circuits from Simplified IP Interface Protocols. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Shuo Chen 0001, Zbigniew Kalbarczyk, Jun Xu 0003, Ravishankar K. Iyer A Data-Driven Finite State Machine Model for Analyzing Security Vulnerabilities. Search on Bibsonomy DSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data analysis, security vulnerabilities, finite state machine modeling
46Roman Goot, Ilya Levin, Sergei Ostanin Fault Latencies of Concurrent Checking FSMs. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Petros Drineas, Yiorgos Makris Non-Intrusive Design of Concurrently Self-Testable FSMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Lilia Kashirova, Olga Tveretina Entropy-Based Design of Low Power FSMs. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
46Aziz Salah, Rabeb Mizouni, Rachida Dssouli, Benoit Parreaux Formal Composition of Distributed Scenarios. Search on Bibsonomy FORTE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Scenario-based approach, Scenario composition, Distributed systems, Formal specification, Use case, FSM
46Wolfgang Grieskamp, Yuri Gurevich, Wolfram Schulte, Margus Veanes Generating finite state machines from abstract state machines. Search on Bibsonomy ISSTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF finite state machine, test case generation, FSM, abstract state machine, executable specification, ASM
45Johannes Feiner The fluid software metadata framework (FSM). Search on Bibsonomy EICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF usability issues, framework, metrics, visualisation, development tools, web interface, software repositories
45Xi Wang 0017, Liang Guo, Huaikou Miao An Approach to Transforming UML Model to FSM Model for Automatic Testing. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45SukKeong Goh, Mohan Baruwal Chhetri, Ryszard Kowalczyk JADE-FSM-Engine: A Deployment Tool for Flexible Agent Behaviours in JADE. Search on Bibsonomy IAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Zhong-sheng Qian, Huaikou Miao, Shengbo Chen Towards Modeling Web Navigation Using FSM and Z. Search on Bibsonomy IITA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Man-Yun Su, Che-Hua Shih, Juinn-Dar Huang, Jing-Yang Jou FSM-based transaction-level functional coverage for interface compliance verification. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Nina Yevtushenko 0001, Svetlana Zharikova, Maria Vetrova Multi Component Digital Circuit Optimization by Solving FSM Equations. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Toshinori Hosokawa, Hiroshi Date, Michiaki Muraoka A State Reduction Method for Non-Scan Based FSM Testing with Don't Care Inputs Identification Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Chunduri Rama Mohan, Partha Pratim Chakrabarti EARTH: combined state assignment of PLA-based FSM's targeting area and testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
45Thomas Müller-Wipperfürth On the Integration of CAST.FSM into the VLSI Design Process. Search on Bibsonomy CAST The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
45Thomas Müller-Wipperfürth, Josef Scharinger, Franz Pichler FSM Shift Register Realization for Improved Testability. Search on Bibsonomy EUROCAST The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
45Alfred Spalt Implementation of Finite-Memory Machines within CAST: FSM. Search on Bibsonomy EUROCAST The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
45Silvia Mara Abrahão, Geert Poels, Oscar Pastor 0001 Assessing the Reproducibility and Accuracy of Functional Size Measurement Methods through Experimentation. Search on Bibsonomy ISESE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FSM methods, IFPUG Function Point Analysis, OO-Method Function Points, Empirical Validation
45Manfred Koegst, Günter Franke, Steffen Rülke, Klaus Feske Low Power Design of FSMs by State Assignment and Disabling Self-Loops. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FSM synthesis, encoding constraints, low power design, clock gating, state assignment
44Samir Roy, Biplab K. Sikdar Power Conscious BIST Design for Sequential Circuits Using ghost-FSM. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Ghost-FSM, power conscious BIST, built-in self-test, multi-objective genetic algorithm, state assignment
37Luigi Buglione, Juan Jose Cuadrado-Gallego, José Antonio Gutiérrez de Mesa Project Sizing and Estimating: A Case Study Using PSU, IFPUG and COSMIC. Search on Bibsonomy IWSM/Metrikon/Mensura The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Project Size Unit (PSU), Scope Management, Estimation, Case Study, Non-Functional Requirements, Function Points
37Tiziano Villa, Svetlana Zharikova, Nina Yevtushenko 0001, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli A new algorithm for the largest compositionally progressive solution of synchronous language equations. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF progressive solutions, unknown component problem, finite automata, sequential synthesis
37Anurag Tiwari, Karen A. Tomko Enhanced reliability of finite-state machines in FPGA through efficient fault detection and correction. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Iouliia Skliarova Self-correction of FPGA-Based Control Units. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Self-correcting finite state machines, specification in VHDL, Hamming codes
37Yujun Zhang, Zhongcheng Li Formal Description of Mobile IPv6 Protocol. Search on Bibsonomy FORTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Malcolm Benjamin Dias, Bernard F. Buxton Enforcing a Shape Correspondence between Two Views of a 3D Non-rigid Object. Search on Bibsonomy CIARP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Samir Roy, Ujjwal Maulik, Biplab K. Sikdar Exploiting Ghost-FSMs as a BIST Structure for Sequential Machines. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Petros Drineas, Yiorgos Makris SPaRe: Selective Partial Replication for Concurrent Fault Detection in FSMs. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Priyank Kalla, Maciej J. Ciesielski A comprehensive approach to the partial scan problem using implicitstate enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Jürgen Teich, Markus Köster (Self-)reconfigurable Finite State Machines: Theory and Implementation. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Timothy Sherwood, Brad Calder Automated design of finite state machine predictors for customized processors. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen A Buffer-Oriented Methodology for Microarchitecture Validation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF processor validation, superscalar microarchitecture, design validation
37Kent L. Einspahr, Shashank K. Mehta, Sharad C. Seth A synthesis for testability scheme for finite state machines using clock control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Alain Girault, Bilung Lee, Edward A. Lee Hierarchical finite state machines with multiple concurrency models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Bilung Lee, Edward A. Lee Hierarchical Concurrent Finite State Machines in Ptolemy. Search on Bibsonomy ACSD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF concurrency, finite state machine, heterogeneity, hierarchy
37Silvia Chiusano, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Cellular automata for deterministic sequential test pattern generation. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF deterministic sequential test pattern generation, cellular automaton identification, hardware structure, area occupation, ASIC testing, evolutionary algorithm, cellular automata, BIST, fault coverage, stuck-at faults, FSM, deterministic automata
37Gilbert Babin, François Lustman, Peretz Shoval Specification and Design of Transactions in Information Systems: A Formal Approach. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF conventional information systems development, manual checking, transformational paradigm, ADISSA notation, transaction-oriented refinement, structured systems analysis, hierarchical set, formal specification, formal specification, data integrity, finite state machine, systems analysis, transaction processing, requirements specifications, finite automata, FSM, structured programming, formal approach
36Benfano Soewito, Lucas Vespa, Atul Mahajan, Ning Weng, Haibo Wang 0005 Self-addressable memory-based FSM: a scalable intrusion detection engine. Search on Bibsonomy IEEE Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Eleftheria Athanasopoulou, Christoforos N. Hadjicostis Bounds on FSM Switching Activity. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low power design, Markov models, Hamming distance, State assignment, Switching activity
36Hrushikesha Mohanty, Jitesh Mulchandani, Deepak Chenthati, R. K. Shyamasundar Modeling Web Services with FSM Modules. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Valery Salauyou, Tomasz Grzes FSM State Assignment Methods for Low-Power Design. Search on Bibsonomy CISIM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Lech Józwiak, Aleksander Slusarczyk, Dominik Gawlowski Multi-objective Optimal FSM State Assignment. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Xunmei Gu, Guoxin Song, Qingyi Li An Improved FSM Method for Web-based Applications. Search on Bibsonomy CIMCA/IAWTIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Lech Józwiak, Dominik Gawlowski, Aleksander Slusarczyk An Effective Solution of Benchmarking Problem FSM Benchmark Generator and Its Application to Analysis of State Assignment Methods. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Eleftheria Athanasopoulou, Christoforos N. Hadjicostis Upper and lower bounds on FSM switching activity. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Ganesh Venkataraman, Sudhakar M. Reddy, Irith Pomeranz GALLOP: Genetic Algorithm based Low Power FSM Synthesis by Simultaneous Partitioning and State Assignment. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Gustavo Sutter, Elias Todorovich, Sergio López-Buedo, Eduardo I. Boemo FSM Decomposition for Low Power in FPGA. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Sunghyun Lee, Sungjoo Yoo, Kiyoung Choi Reconfigurable SoC design with hierarchical FSM and synchronous dataflow model. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Bisimulation relation, complete-1-distinguishability, finite state machine equivalence, sequential logic synthesis, equivalence checking
36Chien-Nan Jimmy Liu, Chia-Chih Yen, Jing-Yang Jou Automatic Functional Vector Generation Using the Interacting FSM Model. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Jun-Jang Jeng, Wang-Chuan Tsai Designing An FSM Architectural Framework for Service-Based Applications. Search on Bibsonomy COMPSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Sandra Camargo Pinto Ferraz Fabbri, José Carlos Maldonado, Paulo César Masiero, Márcio Eduardo Delamaro Proteum/FSM: A Tool to Support Finite State Machine Validation Based on Mutation Testing. Search on Bibsonomy SCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Software Testing, Tools, Finite State Machines, Reactive Systems, Mutation Testing
36Samary Baranov CAD System for ASM and FSM Synthesis. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36A. Tuagi Entropic bounds on FSM switching. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Massimo Poncino, Fabio Somenzi Automatic state space decomposition for approximate FSM traversal based on circuit analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF sequential logic synthesis and verification, finite state machine equivalence, bisimulation relation, 1-distinguishability, 1-equivalence, formal verification
36Thomas Müller-Wipperfürth Linking CAST.FSM to Practical Applications. Search on Bibsonomy EUROCAST The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Martin Geiger CAST.FSM Applied to VLSI Synthesis: Experimental Results and Requirements for Industrial Use. Search on Bibsonomy EUROCAST The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Oktay Türetken, Özden Özcan Top, Baris Özkan, Onur Demirörs The Impact of Individual Assumptions on Functional Size Measurement. Search on Bibsonomy IWSM/Metrikon/Mensura The full citation details ... 2008 DBLP  DOI  BibTeX  RDF COSMIC FSM, IFPUG FPA, MkII FPA, Functional Size Measurement
36Shashank K. Mehta, Kent L. Einspahr, Sharad C. Seth Synthesis for Testability by Two-Clock Control. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-clock control scheme, split coding system, FSM benchmark, timing, finite state machine, sequential circuit, encoding, logic synthesis, Hamiltonian cycle, synthesis for testability, state transition graph
36Ting-Yu Kuo, Chun-Yeh Liu, Kewal K. Saluja An optimized testable architecture for finite state machines. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimized testable architecture, FSM synthesis, testable machine, transfer sequences, synthesis benchmark circuits, logic testing, finite state machines, finite state machines, sequential circuits, logic CAD, sequences, circuit optimisation, distinguishing sequences, synchronizing sequence
36Jason W. Horihan, Yung-Hsiang Lu Improving FSM evolution with progressive fitness functions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF genetic inference, evolution, finite state machine, fitness, FSM, fitness function, evolutionary design, automated design
35Önder Eren, Baris Özkan, Onur Demirörs PL FSM: An Approach and a Tool for the Application of FSM in SPL Environments. Search on Bibsonomy EUROMICRO-SEAA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
35Zafar Hasan, Maciej J. Ciesielski FSM Decomposition and Functional Verification of FSM Networks. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
35Alexandre Petrenko, Nina Yevtushenko 0001 Testing from Partial Deterministic FSM Specifications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF partially specified FSM, weak conformance testing, test generation, Finite State Machine, fault detection, checking experiment, state identification
35M. Tuan Tu, Eberhard Wolff, Winfried Lamersdorf Genetic Algorithms for Automated Negotiations: A FSM-Based Application. Search on Bibsonomy DEXA Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FSM based application approach, electronic commerce applications, GA principles, negotiating agents, genetic algorithms, electronic commerce, finite state machines, automated negotiations, negotiation strategy
35Gitanjali Swamy, Robert K. Brayton, Vigyan Singhal Incremental methods for FSM traversal. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF FSM traversal, formal verification, formal verification, finite state machines, finite state machine, logic design, directed graphs, logic CAD, incremental algorithms, digital systems, reachable states, incremental methods
28Guy-Vincent Jourdan, Hasan Ural, Hüsnü Yenigün, Dong Zhu Using a SAT solver to generate checking sequences. Search on Bibsonomy ISCIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Baris Özkan, Onur Demirörs Formalization Studies in Functional Size Measurement: How Do They Help? Search on Bibsonomy IWSM/Mensura The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Software Functional Size Measurement, Functional Size Measurement Methods, Formalization, Software Models
28John R. Rankin, Sandra Sampayo Vargas FPS Extensions Modelling ESGs. Search on Bibsonomy ACHI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 898 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license