The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for MILP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2000 (15) 2001-2002 (19) 2003-2004 (33) 2005 (35) 2006 (35) 2007 (24) 2008 (32) 2009 (30) 2010-2011 (21) 2012 (15) 2013-2014 (33) 2015 (34) 2016 (38) 2017 (35) 2018 (43) 2019 (41) 2020 (45) 2021 (57) 2022 (36) 2023 (57) 2024 (10)
Publication types (Num. hits)
article(401) incollection(8) inproceedings(274) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 207 occurrences of 149 keywords

Results
Found 688 publication records. Showing 688 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
100Yi-Shuai Niu, Pham Dinh Tao A DC Programming Approach for Mixed-Integer Linear Programs. Search on Bibsonomy MCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GOA-DCA, Branch-and-Bound, ILP, MILP, DCA, MIP, DC Programming
87Amit Chowdhary, John P. Hayes Technology mapping for field-programmable gate arrays using integer programming. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Field-programmable gate arrays (FPGAs), technology mapping, mixed integer linear programming (MILP), lookup tables, circuit partitioning
78Haitao Li, Keith Womer Scheduling projects with multi-skilled personnel by a hybrid MILP/CP benders decomposition algorithm. Search on Bibsonomy J. Sched. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-skilled personnel, Hybrid MILP/CP algorithms, Benders decomposition, Resource-constrained project scheduling
77Pierre Bonami, Gérard Cornuéjols, Sanjeeb Dash, Matteo Fischetti, Andrea Lodi 0001 Projected Chvátal-Gomory cuts for mixed integer linear programs. Search on Bibsonomy Math. Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 90C10, 90C57, 90C11
64Hong Seo Ryoo, Kwangsoo Kim Separation of Data Via Concurrently Determined Discriminant Functions. Search on Bibsonomy TAMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed integer and linear programming, machine learning, data classification
62Christodoulos A. Floudas, Xiaoxia Lin Mixed Integer Linear Programming in Process Scheduling: Modeling, Algorithms, and Applications. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF chemical process scheduling, continuous-time model, branch and bound, mixed-integer linear programming (MILP), discrete-time model
55Jalal Habibi, Ali Khaki-Sedigh Suboptimal control of hybrid systems using approximate multi-parametric MILP. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Song Guo 0001, Oliver W. W. Yang Minimum-Energy Multicast in Wireless Ad Hoc Networks with Adaptive Antennas: MILP Formulations and Heuristic Algorithms. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF minimum-energy routing, multicast algorithm, adaptive antenna, Wireless ad hoc networks, mixed integer linear programming, tree construction
55M. Lütke Entrup, Martin Grunow, Hans Otto Günther, T. Seiler, Paul van Beek An Milp Modelling Approach for Shelf Life Integrated Planning in Yoghurt Production. Search on Bibsonomy OR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Amit Chowdhary, John P. Hayes Area-optimal technology mapping for field-programmable gate arrays based on lookup tables. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Krishnan Srinivasan, Karam S. Chatha, Goran Konjevod Linear Programming based Techniques for Synthesis of Network-on-Chip Architectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Krishnendu Chakrabarty Test scheduling for core-based systems using mixed-integer linearprogramming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Krishnendu Chakrabarty Test scheduling for core-based systems. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
49Gil Loureiro, Francisco Azevedo Constrained XSL formatting objects for adaptive documents. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2005 DBLP  DOI  BibTeX  RDF XSL:FO, adaptive documents, constraints, MILP, pagination
42Christos T. Maravelias, Ignacio E. Grossmann Using MILP and CP for the Scheduling of Batch Chemical Processes. Search on Bibsonomy CPAIOR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Bas Boone, Filip De Turck, Bart Dhoedt Automated Deployment of Distributed Software Components with Fault Tolerance Guarantees. Search on Bibsonomy SERA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Yuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, Qiang Zhou 0001 Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF graph-based approach, microarchitecture pipelining optimization, throughput-aware floorplanning, block pipelining, interconnect pipelining, graph-based algorithm, mixed integer linear programming, wire pipelining
39Shizu Sakakubara, Yuusuke Kounoike, Yuji Shinano, Ikuko Shimizu Automatic Range Image Registration Using Mixed Integer Linear Programming. Search on Bibsonomy ACCV (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39John N. Hooker An Integrated Method for Planning and Scheduling to Minimize Tardiness. Search on Bibsonomy Constraints An Int. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cumulative scheduling, Hybrid methods, Planning and scheduling, Benders decomposition
39Enrico Carpaneto, Claudio Cavallero, Fabio Freschi, Maurizio Repetto Immune Procedure for Optimal Scheduling of Complex Energy Systems. Search on Bibsonomy ICARIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Chiu-Wing Sham, Evangeline F. Y. Young, Chris C. N. Chu Optimal cell flipping in placement and floorplanning. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF flipping, placement, floorplanning, orientation, wirelength
39Kent Andersen, Gérard Cornuéjols, Yanjun Li Split closure and intersection cuts. Search on Bibsonomy Math. Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39John N. Hooker A Hybrid Method for the Planning and Scheduling. Search on Bibsonomy Constraints An Int. J. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Bender's decomposition, hybrid methods, planning and scheduling
39Krishnan Srinivasan, Karam S. Chatha SAGA: synthesis technique for guaranteed throughput NoC architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Nobuo Inui, Yuji Shinano Minimizing State Transition Model for Multiclassification by Mixed-Integer Programming. Search on Bibsonomy MICAI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Quanshi Xia Provisioning VPN over Shared Network Infrastructure. Search on Bibsonomy ICN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39John N. Hooker Planning and Scheduling to Minimize Tardiness. Search on Bibsonomy CP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39John N. Hooker A Hybrid Method for Planning and Scheduling. Search on Bibsonomy CP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Amit Chowdhary, John P. Hayes General technology mapping for field-programmable gate arrays based on lookup tables. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF lookup tables (LUTs), multiple-LUT blocks, nonrooted trees, field-programmable gate arrays, mapping, synthesis, circuit partitioning, rooted trees, Basis
39Adam Wierzbicki Internet Cache Location and Design of Content Delivery Networks. Search on Bibsonomy NETWORKING Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Nicolas Puech, Josué Kuri, Maurice Gagnaire Models for the Logical Topology Design Problem. Search on Bibsonomy NETWORKING The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39In-Soon Park, Woo Jin Oh, Yong Hoon Lee Design of powers-of-two coefficient FIR filters with minimum arithmetic complexity. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Kemal Sahin, Korhan Gürsoy, Amy Ciric Mixed Integer Linear Programming: Heat Exchanger Network Synthesis. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF HEN synthesis, Transshipment model, MILP
36Ping Yan, Lixin Tang PSO algorithm for a scheduling parallel unit batch process with batching. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, particle swarm optimization, differential evolution, MILP, batching
36Haibo Zeng 0001, Wei Zheng, Marco Di Natale, Arkadeb Ghosal, Paolo Giusto, Alberto L. Sangiovanni-Vincentelli Scheduling the FlexRay bus using optimization techniques. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, automotive, MILP, FlexRay
36B. C. Rajeshkumar, T. RameshBabu Evaluation of logistics related policies between two different levels of the supply chain network - a case study. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Supply chain network, Inventory routing problem (IRP), Logistics, Mixed integer linear programming (MILP), Automobile industry
36Narendra K. Singhal, Laxman H. Sahasrabuddhe, Biswanath Mukherjee Optimal multicasting of multiple light-trees of different bandwidth granularities in a WDM mesh network with sparse splitting capabilities. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF light-tree, mixed integar linear program (MILP), optical crossconnect, optical crossconnect (OXC), splitter fanout, optimization, multicasting, optical network, mesh network, wavelength-division multiplexing (WDM), lightpath, grooming
36Thomas Butter, Franz Rothlauf, Jörn Grahl, Tobias Hildenbrand, Jens Arndt Genetic algorithms and mixed integer linear programs for optimal strategies in a student's "sports" activity. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF genetic algorithms, games, discrete event simulation, MILP, ease of use
36Tânia Pinto, Augusto Q. Novais, Ana Paula F. D. Barbosa-Póvoa Optimal Design of Heat-Integrated Multipurpose Batch Facilities with Economic Savings in Utilities: A Mixed Integer Mathematical Formulation. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multipurpose facilities, heat-integration, design, optimisation, utilities, MILP
33Paola Pellegrini, Grégory Marlière, Raffaele Pesenti, Joaquin Rodriguez RECIFE-MILP: An Effective MILP-Based Heuristic for the Real-Time Railway Traffic Management Problem. Search on Bibsonomy IEEE Trans. Intell. Transp. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Klaus Jansen An EPTAS for Scheduling Jobs on Uniform Processors: Using an MILP Relaxation with a Constant Number of Integral Variables. Search on Bibsonomy ICALP (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Ming-Chao Tsai, Yung-Chia Lin, Ting-Chi Wang An MILP-based wire spreading algorithm for PSM-aware layout modification. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Michael P. Vitus, Steven Lake Waslander, Claire J. Tomlin Locally optimal decomposition for autonomous obstacle avoidance with the Tunnel-MILP algorithm. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29José Jaime Ruz, Orlando Arévalo, Jesús Manuel de la Cruz, Gonzalo Pajares Using MILP for UAVs Trajectory Optimization under Radar Detection Risk. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Sylvain Mouret, Ignacio E. Grossmann, Pierre Pestiaux Tightening the Linear Relaxation of a Mixed Integer Nonlinear Program Using Constraint Programming. Search on Bibsonomy CPAIOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26José Luis Risco-Martín, José Ignacio Hidalgo, David Atienza, Juan Lanchares, Oscar Garnica Mixed heuristic and mathematical programming using reference points for dynamic data types optimization in multimedia embedded systems. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF evolutionary computation, particle swarm optimization, multi-objective optimization, mathematical programming, embedded systems design
26Michel A. Kinsy, Myong Hyon Cho, Tina Wen, G. Edward Suh, Marten van Dijk, Srinivas Devadas Application-aware deadlock-free oblivious routing. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF systems-on-chip, oblivious routing, on-chip interconnection networks
26Yu Wang 0002, Ku He, Rong Luo, Hui Wang 0004, Huazhong Yang Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Amitabha Banerjee, Wu-chun Feng, Dipak Ghosal, Biswanath Mukherjee Algorithms for Integrated Routing and Scheduling for Aggregating Data from Distributed Resources on a Lambda Grid. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lambda grid, large scale data transfers, scheduling, routing, circuit switching
26G. Sudha Anil Kumar, Govindarasu Manimaran, Zhengdao Wang End-to-End Energy Management in Networked Real-Time Embedded Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ilya Issenin, Erik Brockmeyer, Bart Durinck, Nikil D. Dutt Data-Reuse-Driven Energy-Aware Cosynthesis of Scratch Pad Memory and Hierarchical Bus-Based Communication Architecture for Multiprocessor Streaming Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Phuong Nga Tran, Ulrich Killat Dynamic reconfiguration of logical topology for WDM networks under traffic changes. Search on Bibsonomy NOMS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Minje Jun, Sungjoo Yoo, Eui-Young Chung Mixed integer linear programming-based optimal topology synthesis of cascaded crossbar switches. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Satyajeet Ahuja, Marwan Krunz Server Placement in Multiple-Description-Based Media Streaming. Search on Bibsonomy DCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multiple description coding, media streaming, path selection, path diversity
26Cuiwen Cao, Xingsheng Gu, Zhong Xin Credibility Fuzzy Chance Constrained Models for Crude Oil Scheduling and Storage Management. Search on Bibsonomy FSKD (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Liying Yang 0002, Jianda Han, Chendong Wu, Yiyong Nie A solution of mixed integer linear programming for obstacle-avoided pursuit problem. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester Self-Compensating Design for Reduction of Timing and Leakage Sensitivity to Systematic Pattern-Dependent Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jayant Baliga, Andrew Zalesky, Moshe Zukerman Effect of Traffic Shifts on the Economics of Telecommunication Competition. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Min Ni, Seda Ogrenci Memik Early planning for clock skew scheduling during register binding. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Sava Stanic, Gokhan Sahin, Hongsik Choi, Suresh Subramaniam 0001, Hyeong-Ah Choi Monitoring and alarm management in transparent optical networks. Search on Bibsonomy BROADNETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Krishnan Srinivasan, Karam S. Chatha, Goran Konjevod Linear-programming-based techniques for synthesis of network-on-chip architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kerry N. Wood, Luiz A. DaSilva Directional Reception vs. Directional Transmission for Maximum Lifetime Multicast Delivery in Ad-Hoc Networks. Search on Bibsonomy Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF maximum-lifetime, optimization, multicast, directional antennas
26Peter A. Beerel, Nam-Hoon Kim, Andrew Lines, Mike Davies Slack Matching Asynchronous Designs. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26T. Shivaprakash, G. S. Badrinath, K. R. Venugopal 0001, Lalit M. Patnaik Energy Aware Topology Management in Ad Hoc Wireless Networks. Search on Bibsonomy ICDCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26T. Shivaprakash, G. S. Badrinath, K. R. Venugopal 0001, Lalit M. Patnaik Energy Aware Topology Management in Ad Hoc Wireless Networks. Search on Bibsonomy ICSNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Cuiwen Cao, Xingsheng Gu Chance Constrained Programming with Fuzzy Parameters for Refinery Crude Oil Scheduling Problem. Search on Bibsonomy FSKD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Liliana Capacho, Rafael Pastor 0002 The ASALB Problem with Processing Alternatives Involving Different Tasks: Definition, Formalization and Resolution. Search on Bibsonomy ICCSA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Yash Patel, Andrew Stephen McGough, John Darlington QoS Support For Workflows In A Volatile Grid. Search on Bibsonomy GRID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Noureddine Chabini, El Mostapha Aboulhamid, Ismaïl Chabini, Yvon Savaria Scheduling and optimal register placement for synchronous circuits derived using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiphase, sequential circuit, software pipelining, clock, Retiming
26Xin Yuan, Kevin W. McCullen, Fook-Luen Heng, Robert F. Walker, Jason Hibbeler, Robert J. Allen, Rani R. Narayan Technology migration technique for designs with strong RET-driven layout restrictions. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RDR, RET-driven layout, restrictive design rules, technology migration
26Krishnan Srinivasan, Karam S. Chatha A technique for low energy mapping and routing in network-on-chip architectures. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF core mapping, routing, network-on-chip, automated design, mesh topology
26Jian Tang 0008, Guoliang Xue, Christopher Chandler, Weiyi Zhang 0001 Link Scheduling with Power Control for Throughput Enhancement in Multihop Wireless Networks. Search on Bibsonomy QSHINE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF QoS, fairness, power control, Cross-layer optimization, link scheduling
26ManMohan S. Sodhi, Stephen Norris A Flexible, Fast, and Optimal Modeling Approach Applied to Crew Rostering at London Underground. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF crew rostering, rota, cyclic graph, aggregation, decomposition, mixed-integer linear programming
26Gokhan Sahin, Suresh Subramaniam 0001 Providing quality-of-protection classes through control-message scheduling in DWDM mesh networks with capacity sharing. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Chan-Eun Rhee, Han-You Jeong, Soonhoi Ha Many-to-Many Core-Switch Mapping in 2-D Mesh NoC Architectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Song Guo 0001, Oliver W. W. Yang Minimum Energy Multicast Routing for Wireless Ad-hoc Networks with Adaptive Antennas. Search on Bibsonomy ICNP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Frank J. Iannarilli Jr., Paul A. Rubin Feature Selection for Multiclass Discrimination via Mixed-Integer Linear Programming. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF classification, Feature selection, branch-and-bound, discrimination, mixed-integer linear programming
26Noureddine Chabini, Ismaïl Chabini, El Mostapha Aboulhamid, Yvon Savaria Unification of basic retiming and supply voltage scaling to minimize dynamic power consumption for synchronous digital designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF supply voltage scaling, performance, power consumption, CMOS, retiming, digital design
26Byoung-Woon Kim, Chong-Min Kyung Exploiting intellectual properties with imprecise design costs for system-on-chip synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Kent Andersen, Gérard Cornuéjols, Yanjun Li Split Closure and Intersection Cuts. Search on Bibsonomy IPCO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26U. Nagaraj Shenoy, Alok N. Choudhary, Prithviraj Banerjee An algorithm for synthesis of large time-constrained heterogeneous adaptive systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF delay/cost table, hierarchical control data-flow graph, time-constrained synthesis, pipelining, reconfigurable computing, mixed integer linear programming, list scheduling
26Manfred Morari Control as an Embedded Technology. Search on Bibsonomy HSCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Shiv Prakash, Alice C. Parker Synthesis of application-specific multiprocessor systems including memory components. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Katerina P. Papalexandri Mixed Integer Linear Programming: Mass and Heat Exchanger Networks. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mass and heat exchange, Separation, MILP
23Meng-Chiou Wu, Rung-Bin Lin, Shih-Cheng Tsai Chip placement in a reticle for multiple-project wafer fabrication. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multiple-project wafers (MPW), compatibility graph, reticle floorplanning, shuttle mask, wafer dicing, simulated annealing (SA), set cover, mixed-integer linear programming (MILP), conflict graph, set partition
23Joaquín Bautista, Jordi Pereira, Belarmino Adenso-Díaz A GRASP approach for the extended car sequencing problem. Search on Bibsonomy J. Sched. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Car sequencing problem, Scheduling, Metaheuristics, GRASP, MILP
23Aysegül Gençata, Biswanath Mukherjee Virtual-topology adaptation for WDM mesh networks under dynamic traffic. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic traffic, virtual-topology reconfiguration, optical network, mesh network, WDM, mixed-integer linear program (MILP)
17Murat Burhan Ilter, Ali Aydin Selçuk MILP modeling of matrix multiplication: cryptanalysis of KLEIN and PRINCE. Search on Bibsonomy Turkish J. Electr. Eng. Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Mikhail A. Bragin Survey on Lagrangian relaxation for MILP: importance, challenges, historical review, recent advancements, and opportunities. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Amir Ahmadi-Javid, Maryam Haghi, Pedram Hooshangi-Tabrizi Integrated job-shop scheduling in an FMS with heterogeneous transporters: MILP formulation, constraint programming, and branch-and-bound. Search on Bibsonomy Int. J. Prod. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Tamás Hajba, Zoltán Horváth, Dániel Heitz, Bálint Psenák A MILP approach combined with clustering to solve a special petrol station replenishment problem. Search on Bibsonomy Central Eur. J. Oper. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Ling Wang Efficient Green Supply Chain Management for Transit Manufacturing Enterprises Integrating AHP, K-NN, and MILP in the Context of Sustainable Development. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Sicheng Zhang, Xiang Li 0006, Xing Yuan, Jiaming Liu, Jinlin Peng, Dongmei Li Optimising the flight turnaround schedules: An improved sliding time windows approach based on MILP and CP models. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Yifu Chen, Christos T. Maravelias, Xiaomin Zhang Tightening discretization-based MILP models for the pooling problem using upper bounds on bilinear terms. Search on Bibsonomy Optim. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Navid Mohammadzadeh, Huy Truong Ba, Michael E. Cholette, Theodore A. Steinberg, Giampaolo Manzolini A Stochastic-MILP dispatch optimization model for Concentrated Solar Thermal under uncertainty. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Qihao Liu, Xinyu Li 0001, Liang Gao 0001, Jiaxin Fan A Multi-MILP Model Collaborative Optimization Method for Integrated Process Planning and Scheduling Problem. Search on Bibsonomy IEEE Trans. Engineering Management The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Emanuele Bellini 0002, Alessandro De Piccoli, Mattia Formenti, David Gérault, Paul Huynh, Simone Pelizzola, Sergio Polese, Andrea Visconti Differential cryptanalysis with SAT, SMT, MILP, and CP: a detailed comparison for bit-oriented primitives. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2024 DBLP  BibTeX  RDF
17Christiane Reinert, Niklas Nolzen, Julia Frohmann, Dominik Tillmanns, André Bardow Design of low-carbon multi-energy systems in the SecMOD framework by combining MILP optimization and life-cycle assessment. Search on Bibsonomy Comput. Chem. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Roderich Wallrath, F. Seeanner, Matthias Lampe, Meik B. Franke A time-bucket MILP formulation for optimal lot-sizing and scheduling of real-world chemical batch plants. Search on Bibsonomy Comput. Chem. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17G. Adrio, Alberto García-Villoria, Marc Juanpera, Rafael Pastor 0002 MILP model for the mid-term production planning in a chemical company with non-constant consumption of raw materials. An industrial application. Search on Bibsonomy Comput. Chem. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 688 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license