The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SPARC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1982 (16) 1983-1988 (16) 1989-1991 (17) 1992 (16) 1993-1994 (19) 1995 (30) 1996 (16) 1997 (15) 1998-1999 (20) 2000 (20) 2001-2002 (18) 2003 (16) 2004-2005 (35) 2006 (18) 2007 (23) 2008 (17) 2009-2010 (17) 2011-2013 (15) 2014-2015 (15) 2016-2018 (17) 2019-2021 (19) 2022-2024 (9)
Publication types (Num. hits)
article(110) book(2) incollection(1) inproceedings(289) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 377 occurrences of 295 keywords

Results
Found 404 publication records. Showing 404 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
98Lisa Higham, LillAnne Jackson Translating between itanium and sparc memory consistency models. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessors, program transformations, memory consistency models, sparc, itanium
98Jalal Kawash, Lisa Higham Memory consistency and process coordination for SPARC v8 multiprocessors (brief announcement). Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPARC
84Seongbae Park, SangMin Shim, Soo-Mook Moon Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques
55Bill Clarke Solemn: Solaris Emulation Mode for Sparc Sulima. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Ramesh Radhakrishnan, Juan Rubio 0001, Lizy Kurian John Characterization of Java Applications at Bytecode and Ultra-SPARC Machine Code Levels. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Java, Performance Analysis, benchmarking, bytecode
54Lisa Higham, LillAnne Jackson, Jalal Kawash Specifying memory consistency of write buffer multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha
44Chimène Fankam, Stéphane Jean, Ladjel Bellatreche, Yamine Aït Ameur Extending the ANSI/SPARC Architecture Database with Explicit Data Semantics: An Ontology-Based Approach. Search on Bibsonomy ECSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Johann Großschädl, Stefan Tillich, Alexander Szekely Performance Evaluation of Instruction Set Extensions for Long Integer Modular Arithmetic on a SPARC V8 Processor. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Stefan Tillich, Johann Großschädl Instruction Set Extensions for Efficient AES Implementation on 32-bit Processors. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded RISC processor, SPARC V8 architecture, Advanced Encryption Standard, instruction set extensions, efficient implementation
43Stefan Tillich, Johann Großschädl A Simple Architectural Enhancement for Fast and Flexible Elliptic Curve Cryptography over Binary Finite Fields GF(2m). Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF application-specific instruction set extension, binary finite fields, SPARC V8, multiply step instruction, Elliptic curve cryptography
43Robert F. Cmelik, David Keppel Shade: A Fast Instruction-Set Simulator for Execution Profiling. Search on Bibsonomy SIGMETRICS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF SPARC
43Robert Wahbe, Steven Lucco, Susan L. Graham Practical Data Breakpoints: Design and Implementation. Search on Bibsonomy PLDI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF SPARC
34Xunying Zhang, Xubang Shen A Power-Efficient Floating-Point Co-processor Design. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Michael J. Jacobson Jr. Experimental Results on Class Groups of Real Quadratic Fields. Search on Bibsonomy ANTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Michael Cowell, Adam Postula Rachael SPARC: An Open Source 32-bit Microprocessor Core for SoCs. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Eduardo Afonso Billo, Rodolfo Azevedo, Guido Araujo, Paulo Centoducatte, Eduardo Braulio Wanderley Netto Design of a decompressor engine on a SPARC processor. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance, code compression
32Mariko Sakamoto, Akira Katsuno, Aiichiro Inoue, Takeo Asakawa, Haruhiko Ueno, Kuniki Morita, Yasunori Kimura Microarchitecture and Performance Analysis of a SPARC-V9 Microprocessor for Enterprise Server Systems. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Spiros Kalogeropulos An Enhanced Trace Scheduler for SPARC Processors. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Jiri Gaisler A Portable and Fault-Tolerant Microprocessor Based on the SPARC V8 Architecture. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Lisa Higham, Jalal Kawash Memory Consistency and Process Coordination for SPARC Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Tomás Bautista, Antonio Núñez Design of Efficient SPARC Cores for Embedded Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Alfred Mikschl, Werner Damm MSparc: A Multithreaded Sparc. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
32Emilie T. Saulnier, Betty J. Bortscheller Data transfer bottlenecks over SPARC-based computer networks. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computer workstation network, CDDI, benchmarking programs, SPIMS, TTCP, performance evaluation, protocols, local area networks, network protocol, Ethernet, distributed network, performance characteristics, performance bottleneck
32F. Battini, P. L. Mantovani, Marco Mattavelli Evaluation of a SPARC Board Equipped with the Ada Tasking Coprocessor (ATAC). Search on Bibsonomy Ada-Europe The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
32 User Facility Task Group of the ANSI X3/SPARC Database System Study Group: Reference Model for DBMS User Facility. Search on Bibsonomy SIGMOD Rec. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
31Jin Ouyang, Raghuveer Raghavendra, Sibin Mohan, Tao Zhang 0032, Yuan Xie 0001, Frank Mueller 0001 CheckerCore: enhancing an FPGA soft core to capture worst-case execution times. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LEON3, checkercore, shadow pipeline, FPGA, embedded system, real-time, WCET, worst-case-execution-time, SPARC
31Erik Buchanan, Ryan Roemer, Hovav Shacham, Stefan Savage When good instructions go bad: generalizing return-oriented programming to RISC. Search on Bibsonomy CCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF return-into-libc, return-oriented programming, RISC, SPARC
31Andrew Over, Bill Clarke, Peter E. Strazdins A Comparison of Two Approaches to Parallel Simulation of Multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF speedup analysis, Sparc Sulima, UltraSPARC IIICu-based multiprocessor systems, careful locking, simulation time quantum, serial simulation, load-balancing, parallel simulation, parallel discrete event simulation, interconnect model, NAS parallel benchmarks
31Nalini K. Ratha, Anil K. Jain 0001, Diane T. Rover FPGA-Based Coprocessor for Text String Extraction. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA-based coprocessor, text string extraction, image morphology based algorithms, high-performance coprocessor, Splash 2, Sun hosts, VHDL behavioral modeling, SPARC station 20, design patterns, coprocessors, document understanding, visual effects
31Jeffrey K. Hollingsworth, Barton P. Miller, M. J. R. Goncalves, Oscar Naim, Zhichen Xu, Ling Zheng MDL: A Language and Compiler for Dynamic Program Instrumentation. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dynamic program instrumentation, running programs, instrumentation code, PA-RISC, Power 2 architecture, Alpha architecture, x86 architecture, Metric Description Language, Paradyn Parallel Performance Tools, platform independent descriptions, message channels, modules, MDL, nodes, procedures, application program, compiler generators, SPARC, files, dynamic code generation, performance data
31Eric Schnarr, James R. Larus Instruction Scheduling and Executable Editing. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF SPARC
31Ignacio Martín Llorente, Juan Carlos Fabero, Francisco Tirado, Alfredo Bautista Distributed parallel computers versus PVM on a workstation cluster in the simulation of time dependent partial differential equations. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Schrodinger equation, parallel numerical simulation, Schrodinger equation, message passing parallel machine, Sparc-stations, performance evaluation, parallel algorithms, parallel computing, partial differential equations, PVM, workstation cluster, finite difference method, wave equations, large-scale problems, time dependent, distributed parallel computers
31C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
31Wolfgang F. Kraske, Frederick W. George III VOXAR-All ATM Distributed Biomedical Visualization: 1) Local OC-3 Linked Workstation Cluster; 2) Remote OC-3 Linked 40 GFlops CRAY T3D MPP. Search on Bibsonomy CBMS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cray computers, VOXAR-all ATM distributed biomedical visualization, local OC-3 linked workstation cluster, remote OC-3 linked Cray T3D MPP, extended AVS visualization capability, SUN SPARC 20 workstations, supercomputer complex, HiPPI-connected Cray YMP vector architecture, Cray T3D massively parallel processing architecture, ATM-switched SONET STS-3 link, AVS kernel, Cray YMP, process spawning, virtual shared memory protocol, T3D memory management hardware, TCP/IP sockets, physician's desktop, 3D spatial object representation, medical community, mosaic resource management, 40 GFLOPS, relational databases, asynchronous transfer mode, object-oriented databases, transport protocols, parallel machines, storage management, data visualisation, workstations, remote procedure calls, remote procedure calls, biology computing, network interfaces, medical computing, SONET, object-relational database management system, network resource management
31Aaron Goldberg, John A. Trotter Interrupt-based hardware support for profiling memory system performance. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF profiling memory system performance, superscalar technologies, Mprof prototype, data stall cycles, first level cache misses, Sun Sparc 10/41, performance evaluation, storage management, memory architecture, hardware support, sampling techniques, memory system performance
31Jaekyu Ha, Robert M. Haralick, Ihsin T. Phillips Recursive X-Y cut using bounding boxes of connected components. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF recursive X-Y cut, top-down page segmentation technique, rectangular blocks, Sparc-10 workstations, letter-sized document images, image segmentation, document image processing, connected components, document image, bounding boxes, image pixels
31Su S. Chen, Robert M. Haralick, Ihsin T. Phillips Automatic text skew estimation in document images. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF text skew angle, text skew estimation, document image data set, optimal parameter settings, SUN Sparc 10 machines, document image processing, document images, document image
31Gyeonghwan Kim, Venu Govindaraju Handwritten word recognition for real-time applications. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fast handwritten word recognition system, chain code representation, dynamic matching, lexicon entry, input word image, word ranking, SPARC-10 platform, postal word database, real-time systems, image segmentation, feature extraction, feature extraction, segmentation, handwriting recognition, image matching, real time applications, preprocessing, word processing
31Mike Simone, A. Essen, A. Ike, A. Krishnamoorthy, Tak Maruyama, Niteen Patkar, M. Ramaswami, Michael Shebanow, V. Thirumalaiswamy, DeForest Tovey Implementation Trade-Offs in Using a Restricted Data Flow Architecture in a High Performance RISC Microprocessor. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SPARC
23Peter Sewell Memory, an elusive abstraction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF semantics, relaxed memory models
23Stefan Tillich, Johann Großschädl VLSI Implementation of a Functional Unit to Accelerate ECC and AES on 32-Bit Processors. Search on Bibsonomy WAIFI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Thomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, Andreas Moshovos Mechanisms for store-wait-free multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF store buffer design, memory consistency models
23Abhik Roychoudhury Formal Reasoning about Hardware and Software Memory Models. Search on Bibsonomy ICFEM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Tomás Bautista, Antonio Núñez Quantitative study of the impact of design and synthesis options on processor core performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Cristina Cifuentes, Doug Simon, Antoine Fraboulet Assembly to High-Level Language Translation. Search on Bibsonomy ICSM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Norman Ramsey, Mary F. Fernandez Specifying Representations of Machine Instructions. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF encoding, decoding, compiler generation, relocation, object code, machine description, machine code
23David K. Y. Yau, Simon S. Lam Migrating Sockets for networking with quality of service guarantees. Search on Bibsonomy ICNP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Stuart Maclean, Sean Smith Object-Oriented Programming for Embedded Systems. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Kenjiro Taura, Satoshi Matsuoka, Akinori Yonezawa An Efficient Implementation Scheme of Concurrent Object-Oriented Languages on Stock Multicomputers. Search on Bibsonomy PPoPP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Andrew Holman The MEIKO Computing Surface: A Parallel & Scalable Open Systems Platform for Oracle. Search on Bibsonomy BNCOD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Xi Wang, Bryan Hatasaka, Zhengyan Liu, Sayali Tope, Mohit Karkhanis, Seungbeom Noh, Farhan Sium, Ravi V. Mural, Hanseup Kim, Carlos H. Mastrangelo, Ling Zang, James C. Schnable, Mingyue Ji SPARC-LoRa: A Scalable, Power-efficient, Affordable, Reliable, and Cloud Service-enabled LoRa Networking System for Agriculture Applications. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Juntao You, Wenjie Wang 0001, Shansuo Liang, Wei Han 0004, Bo Bai 0001 An Efficient Two-Stage SPARC Decoder for Massive MIMO Unsourced Random Access. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Boqin Zhang, Xin Jing, Shashikant Kumar, Phanish Suryanarayana Version 2.0.0 - M-SPARC: Matlab-Simulation Package for Ab-initio Real-space Calculations. Search on Bibsonomy SoftwareX The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Sanjay Soundarajan, Sachira Kuruppu, Ashutosh Singh, Jongchan Kim, Monalisa Achalla SPARClink: an interactive tool to visualize the impact of the SPARC program. Search on Bibsonomy F1000Research The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Zach Hansen, Hanxiang Du, Wanli Xing, Rory Eckel, Justin Lugo, Yuanlin Zhang 0002 A Preliminary Data-driven Analysis of Common Errors Encountered by Novice SPARC Programmers. Search on Bibsonomy ICLP Technical Communications / Doctoral Consortium The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Juntao You, Wenjie Wang 0001, Shansuo Liang, Wei Han 0004, Bo Bai 0001 An Efficient Two-Stage SPARC Decoder for Massive MIMO Unsourced Random Access. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Florian Langer, Gwangbin Bae, Ignas Budvytis, Roberto Cipolla SPARC: Sparse Render-and-Compare for CAD model alignment in a single RGB image. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21V. Gregory Weirs, Elaine M. Raybourn, Reed Milewicz, Killian Muollo, Jeffrey A. Mauldin, Thomas J. Otahal Enabling Catalyst Adoption in SPARC. Search on Bibsonomy ISAV@SC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Florian Langer, Gwangbin Bae, Ignas Budvytis, Roberto Cipolla SPARC: Sparse Render-and-Compare for CAD model alignment in a single RGB Image. Search on Bibsonomy BMVC The full citation details ... 2022 DBLP  BibTeX  RDF
21Qimen Xu, Abhiraj Sharma, Benjamin M. Comer, Hua Huang, Edmond Chow, Andrew J. Medford, John E. Pask, Phanish Suryanarayana SPARC: Simulation Package for Ab-initio Real-space Calculations. Search on Bibsonomy SoftwareX The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Justin C. Tullos, Scott R. Graham, Jeremy D. Jordan, Pranav R. Patel SPARC: Statistical Performance Analysis With Relevance Conclusions. Search on Bibsonomy IEEE Open J. Comput. Soc. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Niloofar Shahidi, Xuanzhi Lin, Yuda Munarko, Laila Rasmy, Tram Ngo AQUA: an Advanced QUery Architecture for the SPARC Portal. Search on Bibsonomy F1000Research The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Ryan Quey, Matthew A. Schiefer, Anmol Kiran, Bhavesh Patel KnowMore: an automated knowledge discovery tool for the FAIR SPARC datasets. Search on Bibsonomy F1000Research The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Zhé Hóu, David Sanán, Alwen Tiu, Yang Liu 0003, Koh Chuen Hoa, Jin Song Dong An Isabelle/HOL Formalisation of the SPARC Instruction Set Architecture and the TSO Memory Model. Search on Bibsonomy J. Autom. Reason. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Bingyu Li, Ines Said, Linda Kirova, Maria Blokhina, Hyo Jeong Kang SpArc: A VR Animating Tool at Your Fingertips. Search on Bibsonomy VRST The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Qimen Xu, Abhiraj Sharma, Phanish Suryanarayana M-SPARC: Matlab-Simulation Package for Ab-initio Real-space Calculations. Search on Bibsonomy SoftwareX The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Tianya Li, Yongpeng Wu 0001, Mengfan Zheng, Dongming Wang 0002, Wenjun Zhang 0001 SPARC-LDPC Coding for MIMO Massive Unsourced Random Access. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
21Tianya Li, Yongpeng Wu 0001, Mengfan Zheng, Dongming Wang 0002, Wenjun Zhang 0001 SPARC-LDPC Coding for MIMO Massive Unsourced Random Access. Search on Bibsonomy GLOBECOM (Workshops) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Lizhen Shi, Xiandong Meng, Elizabeth Tseng, Michael Mascagni, Zhong Wang SpaRC: scalable sequence clustering using Apache Spark. Search on Bibsonomy Bioinform. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21David Schvartzman, Christopher D. Curtis Signal Processing and Radar Characteristics (SPARC) Simulator: A Flexible Dual-Polarization Weather-Radar Signal Simulation Framework Based on Preexisting Radar-Variable Data. Search on Bibsonomy IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Tao Yu 0009, Rui Zhang 0037, Michihiro Yasunaga, Yi Chern Tan, Xi Victoria Lin, Suyi Li, Heyang Er, Irene Li, Bo Pang, Tao Chen, Emily Ji, Shreya Dixit, David Proctor, Sungrok Shim, Jonathan Kraft, Vincent Zhang, Caiming Xiong, Richard Socher, Dragomir R. Radev SParC: Cross-Domain Semantic Parsing in Context. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
21Zhe Hou, David Sanán, Alwen Tiu, Yang Liu 0003, Jin Song Dong A formalisation of the SPARC TSO memory model for multi-core machine code. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
21Ryan Kavanagh, Stephen Brookes A Denotational Semantics for SPARC TSO. Search on Bibsonomy Log. Methods Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Katie Lim, Jonathan Balkind, David Wentzlaff JuxtaPiton: Enabling Heterogeneous-ISA Research with RISC-V and SPARC FPGA Soft-cores. Search on Bibsonomy FPGA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Mingzheng Li, Xiaodong Wang 0013, Haojie Tong, Tong Liu, Ye Tian 0004 SPARC: Towards a Scalable Distributed Control Plane Architecture for Protocol-Oblivious SDN Networks. Search on Bibsonomy ICCCN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Tao Zhang, Rui Zhang, Ruijun Li, Yanfang Fan, Hongjing Cheng A Static Method for Stack Overflow Detection Based on SPARC V8 Architecture. Search on Bibsonomy CSPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Anita E. Bandrowski, Tom Gillespie, Monique Surles-Zeigler, Gabrielle Pine, Jeffrey S. Grethe, Maryann E. Martone How BIDs and BIDs validator has informed the data aggregation needs of the Stimulating Peripheral Activity to Relieve Conditions, SPARC, consortium. Search on Bibsonomy RO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Tao Yu 0009, Rui Zhang 0037, Michihiro Yasunaga, Yi Chern Tan, Xi Victoria Lin, Suyi Li, Heyang Er, Irene Li, Bo Pang, Tao Chen, Emily Ji, Shreya Dixit, David Proctor, Sungrok Shim, Jonathan Kraft, Vincent Zhang, Caiming Xiong, Richard Socher, Dragomir R. Radev SParC: Cross-Domain Semantic Parsing in Context. Search on Bibsonomy ACL (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Tetsutaro Hashimoto, Yukihito Kawabe, Michiharu Hara, Yasushi Kakimura, Kunihiko Tajiri, Shinichiro Shirota, Ryuichi Nishiyama, Hitoshi Sakurai, Hiroshi Okano, Yasumoto Tomita, Sugio Satoh, Hideo Yamashita An Adaptive-Clocking-Control Circuit With 7.5% Frequency Gain for SPARC Processors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Ryan Kavanagh, Stephen Brookes A Denotational Semantics for SPARC TSO. Search on Bibsonomy MFPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Katie Lim, Jonathan Balkind, David Wentzlaff JuxtaPiton: Enabling Heterogeneous-ISA Research with RISC-V and SPARC FPGA Soft-cores. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
21Dawei Zhou 0003, Jingrui He, Hongxia Yang, Wei Fan SPARC: Self-Paced Network Representation for Few-Shot Rare Category Characterization. Search on Bibsonomy KDD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Marwan Ammar, Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria Reliability Analysis of the SPARC V8 Architecture via Fault Trees and UPPAL-SMC. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Pulkit Singhal, Aditya Agarwala, Priyanka Srivastava Do Pitch and Space Share Common Code?: Role of feedback in SPARC effect. Search on Bibsonomy CogSci The full citation details ... 2018 DBLP  BibTeX  RDF
21Jonas Leichsenring Regulatory effects of SPARC on fibronectin mediated cellular responses of pancreatic ductal adenocarcinoma cells (PDF / PS) Search on Bibsonomy 2018   RDF
21Swarnava Ghosh, Phanish Suryanarayana SPARC: Accurate and efficient finite-difference formulation and parallel implementation of Density Functional Theory: Isolated clusters. Search on Bibsonomy Comput. Phys. Commun. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Swarnava Ghosh, Phanish Suryanarayana SPARC: Accurate and efficient finite-difference formulation and parallel implementation of Density Functional Theory: Extended systems. Search on Bibsonomy Comput. Phys. Commun. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Ryan Kavanagh, Stephen Brookes A Denotational Semantics for SPARC TSO. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
21Elias Marcopoulos, Maede Rayatidamavandi, Crisel Suárez, Yuanlin Zhang 0002 Online SPARC for Drawing and Animation. Search on Bibsonomy AAAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Georgios K. Konstadinidis, Hongping Penny Li, Francis Schumacher, Venkatram Krishnaswamy, Hoyeol Cho, Sudesna Dash, Robert P. Masleid, Chaoyang Zheng, Yuanjung David Lin, Paul Loewenstein, Heechoul Park, Vijay Srinivasan, Dawei Huang, Changku Hwang, Wenjay Hsu, Curtis McAllister, Jeffrey Brooks, Ha Pham, Sebastian Turullols, Yifan YangGong, Robert T. Golla, Alan P. Smith 0002, Ali Vahidsafa SPARC M7: A 20 nm 32-Core 64 MB L3 Cache Processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Davide Meroni, Giorgio Mauri, Dario Bovio, Anna Maria Bianchi, Claudia Chiodoni, Mario Paolo Colombo, Emanuele Meroni, Andrea Aliverti Healthy and tumoral tissue resistivity in wild-type and sparc-/- animal models. Search on Bibsonomy Medical Biol. Eng. Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Kathirgamar Aingaran, Sumti Jairath, David Lutz Software in Silicon in the Oracle SPARC M7 processor. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21S. E.-D. Habib, Mohamed Wagih I. Ismail, Ahmed Ibrahim S. Khalil, Ezz El-Din O. Hussein, Alhassan F. Khedr, Safaa A. Abdelfattah, Ahmed Reda, Mohamed Elgendy Cairo University SPARC V2 (CUSPARC V2) processor. Search on Bibsonomy ICM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Mario Banuelos, Rubi Almanza, Lasith Adhikari, Roummel F. Marcia, Suzanne Sindi Constrained variant detection with SPaRC: Sparsity, parental relatedness, and coverage. Search on Bibsonomy EMBC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Luyang Wang, Pallab Bhattacharya, Yao-Min Chen, Shrinivas Joshi, James Cheng End-to-End Java Security Performance Enhancements for Oracle SPARC Servers. Search on Bibsonomy ICPE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Kathirgamar Aingaran, Sumti Jairath, Georgios K. Konstadinidis, Serena Leung, Paul Loewenstein, Curtis McAllister, Stephen Phillips, Zoran Radovic, Ram Sivaramakrishnan, David Smentek, Thomas Wicki M7: Oracle's Next-Generation Sparc Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Andrea Aprovitola, Pasqua D'Ambra, Filippo Maria Denaro, Daniela di Serafino, Salvatore Filippone SParC-LES: Enabling large eddy simulations with parallel sparse matrix computation tools. Search on Bibsonomy Comput. Math. Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Basant Vinaik, Rahoul Puri Oracle's Sonoma processor: Advanced low-cost SPARC processor for enterprise workloads. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Cyril Bottoni, Benjamin Coeffic, Jean-Marc Daveau, Gilles Gasiot, Fady Abouzeid, Sylvain Clerc, Lirida A. B. Naviner, Philippe Roche Frequency and voltage effects on SER on a 65nm Sparc-V8 microprocessor under radiation test. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21John R. Feehrer, Jeffry Hughes, Hugh Kurth, David Pabisz, Peter Yakutis Implementation and Modeling for High-performance I/O Hub Used in SPARC M7 Processor-Based Servers. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 404 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license