The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase System-on-chips (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1999-2002 (15) 2003 (19) 2004 (19) 2005 (20) 2006 (21) 2007 (28) 2008 (26) 2009 (18) 2010-2011 (15) 2012-2014 (22) 2015-2017 (18) 2018-2019 (20) 2020-2023 (18) 2024 (2)
Publication types (Num. hits)
article(81) inproceedings(173) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 174 occurrences of 130 keywords

Results
Found 261 publication records. Showing 261 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Oussama Laouamri, Chouki Aktouf Towards a Complete SNMP-Based Supervision of System-on-Chips. Search on Bibsonomy J. Netw. Syst. Manag. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF P1500 wrapper, System-on-chips, Network management, SNMP, Design-for-test
29Jan Moritz Joseph, Dominik Ermel, Lennart Bamberg, Alberto García Ortiz, Thilo Pionteck System-level optimization of Network-on-Chips for heterogeneous 3D System-on-Chips. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
29Jan Moritz Joseph, Dominik Ermel, Lennart Bamberg, Alberto García Ortiz, Thilo Pionteck System-Level Optimization of Network-on-Chips for Heterogeneous 3D System-on-Chips. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Xiaoyu Ruan, Rajendra S. Katti Data-Independent Pattern Run-Length Compression for Testing Embedded Cores in SoCs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF system-on-chips, automatic test pattern generator, Automatic test equipment, test data compression, embedded core testing, run-length coding
21Oussama Laouamri, Chouki Aktouf Enhancing Testability of System on Chips Using Network Management Protocols. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda, Toshio Isomura, Kazuo Satou Trace-driven workload simulation method for Multiprocessor System-On-Chips. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MPSoC architecture exploration, simulation, performance estimation, workload model
21Takefumi Yoshikawa, Takashi Hirata, Tsuyoshi Ebuchi, Toru Iwata, Yukio Arima, Hiroyuki Yamauchi An Over-1-Gb/s Transceiver Core for Integration Into Large System-on-Chips for Consumer Electronics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Rajesh Tiwari, Abhijeet Shrivastava, Mahit Warhadpande, Srivaths Ravi 0001, Rubin A. Parekhji A Regression Based Technique for ATE-Aware Test Data Volume Estimation of System-on-Chips. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Tester, ATPG, Estimation, ATE, Test Time, Test Data Volume
21Fawnizu Azmadi Hussin, Tomokazu Yoneda, Alex Orailoglu, Hideo Fujiwara Core-Based Testing of Multiprocessor System-on-Chips Utilizing Hierarchical Functional Buses. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Riccardo Mariani, Gabriele Boschi A systematic approach for Failure Modes and Effects Analysis of System-On-Chips. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Santanu Chattopadhyay, K. Sudarsana Reddy Genetic Algorithm based Test Scheduling and Test Access Mechanism Design for System-on-Chips. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Kazuhiko Iijima, Armagan Akar, Charlie McDonald, Dwayne Burek Embedded Test Solution as a Breakthrough in Reducing Cost of Test for System on Chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Yi Zhao, Li Chen, Sujit Dey On-Line Testing of Multi-Source Noise-Induced Errors on the Interconnects and Buses of System-on-Chips. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Michalis D. Galanis, Grigoris Dimitroulakos, Costas E. Goutis Performance Improvements from Partitioning Applications to FPGA Hardware in Embedded SoCs. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded system-on-chips, kernel identification, FPGA, hardware/software partitioning, performance improvement
16Subrat Mishra, Sankatali Venkateswarlu, Bjorn Vermeersch, Moritz Brunion, Melina Lofrano, Dawit Burusie Abdi, Herman Oprins, Dwaipayan Biswas, Odysseas Zografos, Gaspard Hiblot, Geert Van der Plas, Pieter Weckx, Geert Hellings, James Myers, Francky Catthoor, Julien Ryckaert Towards Chip-Package-System Co-optimization of Thermally-limited System-On-Chips (SOCs). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Huajuan Zhang, Hao Xiao, Ning Wu A system-level design of MapReduce-based embedded multiprocessor system-on-chips. Search on Bibsonomy ISOCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Sungchan Kim, Soonhoi Ha System-level performance analysis of multiprocessor system-on-chips by combining analytical model and execution time variation. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jia Huang Towards an Integrated Framework for Reliability-Aware Embedded System Design on Multiprocessor System-on-Chips. Search on Bibsonomy 2014   RDF
16Wen-Tsai Sung, Jui-Ho Chen, Kung-Wei Chang Study on a Real-Time BEAM System for Diagnosis Assistance Based on a System on Chips Design. Search on Bibsonomy Sensors The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Guanyi Sun, Shengnan Xu, Xu Wang, Dawei Wang, Eugene Tang, Yangdong Deng, Sun Chan A High-Throughput, High-Accuracy System-Level Simulation Framework for System on Chips. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yuxin Wang, Martin Margala New Embedded Core Testing for System-on-Chips and System-in-Packages. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla System Level Design Methodology for System On Chips using Multi-Threaded Graphs. Search on Bibsonomy SoCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Emanuele Parisi, Alberto Musa, Maicol Ciani, Francesco Barchi, Davide Rossi, Andrea Bartolini, Andrea Acquaviva Assessing the Performance of OpenTitan as Cryptographic Accelerator in Secure Open-Hardware System-on-Chips. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Ismet Dagli, Mehmet E. Belviranli Shared Memory-contention-aware Concurrent DNN Execution for Diversely Heterogeneous System-on-Chips. Search on Bibsonomy PPoPP The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Francesco Angione, Davide Appello, Paolo Bernardi, Andrea Calabrese, Stefano Quer, Matteo Sonza Reorda, Vincenzo Tancorre, Roberto Ugioli A Toolchain to Quantify Burn-In Stress Effectiveness on Large Automotive System-on-Chips. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Ahmad Patooghy, Mehdi Elahi, Maral Filvan Torkaman, Sara Sezavar Dokhtfaroughi, Ramin Rajaei Addressing Benign and Malicious Crosstalk in Modern System-on-Chips. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Siwakorn Thongmark, Woradorn Wattanapanitch Design of a High-Efficiency Low-Ripple Buck Converter for Low-Power System-On-Chips. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Toygun Basaklar, A. Alper Goksoy, Anish Krishnakumar, Suat Gumussoy, Ümit Y. Ogras DTRL: Decision Tree-based Multi-Objective Reinforcement Learning for Runtime Task Scheduling in Domain-Specific System-on-Chips. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Ismet Dagli, Mehmet E. Belviranli Shared Memory-contention-aware Concurrent DNN Execution for Diversely Heterogeneous System-on-Chips. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Carsten Heinz, Andreas Koch 0001 $\mathrm {DD\text {-}MPU}$: Dynamic and Distributed Memory Protection Unit for Embedded System-on-Chips. Search on Bibsonomy SAMOS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Sujan Kumar Saha, Abigail N. Butka, Muhammed Kawser Ahmed, Christophe Bobda OpenTitan based Multi-Level Security in FPGA System-on-Chips. Search on Bibsonomy ICFPT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Romain Cayre, Damien Cauquil, Aurélien Francillon ESPwn32: Hacking with ESP32 System-on-Chips. Search on Bibsonomy SP (Workshops) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Francesco Restuccia 0002, Ryan Kastner Cut and Forward: Safe and Secure Communication for FPGA System on Chips. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Tessil Thomas, Bharath Venkatasubramanian, Dinesh Sthapit, Christopher Gray, Atresh Gummadavelly, Janick Bergeron, Pankaj Mehta, Prabu Thangamuthu Left-shifter: A pre-silicon framework for usage model based performance verification of the PCIe interface in server processor system on chips. Search on Bibsonomy ISPASS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Yuanchao Xu 0001, Mehmet Esat Belviranli, Xipeng Shen, Jeffrey S. Vetter PCCS: Processor-Centric Contention-aware Slowdown Model for Heterogeneous System-on-Chips. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Zhe Jiang 0004, Neil C. Audsley, Dayu Shill, Kecheng Yang 0001, Nathan Fisher, Zheng Dong 0002 Brief Industry Paper: AXI-InterconnectRT: Towards a Real-Time AXI-Interconnect for System-on-Chips. Search on Bibsonomy RTAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Tim Hotfilter, Julian Höfer, Fabian Kreß, Fabian Kempf, Jürgen Becker 0001 FLECSim-SoC: A Flexible End-to-End Co-Design Simulation Framework for System on Chips. Search on Bibsonomy SoCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Yasser S. Abdalla A novel flash-like all-metal-oxide semiconductor analog-to-digital converter suitable for system on chips systems. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Andrea Floridia, Tzamn Melendez Carmona, Davide Piumatti, Annachiara Ruospo, Ernesto Sánchez 0001, Sergio de Luca, Rosario Martorana, Mose Alessandro Pernice Deterministic Cache-based Execution of On-line Self-Test Routines in Multi-core Automotive System-on-Chips. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Manting Yao, Weina Yuan, Nan Wang 0003, Zeyu Zhang, Yuan Qiu 0007, Yichuan Liu SS3: Security-Aware Vendor-Constrained Task Scheduling for Heterogeneous Multiprocessor System-on-Chips. Search on Bibsonomy ICNSC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Nisha Jacob Kabakci Hardware Trojans and their Security Impact on Reconfigurable System-on-Chips. Search on Bibsonomy 2020   RDF
14Mohammad Motamedi, Daniel D. Fong, Soheil Ghiasi Cappuccino: Efficient CNN Inference Software Synthesis for Mobile System-on-Chips. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Andrea Guerrieri, Sahand Kashani-Akhavan, Mikhail Asiatici, Paolo Ienne Snap-On User-Space Manager for Dynamically Reconfigurable System-on-Chips. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Samet E. Arda, Anish Krishnakumar, A. Alper Goksoy, Joshua Mack, Nirmal Kumbhare, Anderson L. Sartor, Ali Akoglu, Radu Marculescu, Ümit Y. Ogras Work-in-Progress: A Simulation Framework for Domain-Specific System-on-Chips. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
14Shiqing Li, Yixun Wei, Lei Ju 0001 Automatic data placement for CPU-FPGA heterogeneous multiprocessor System-on-Chips. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Regina Marcela Ivo, Daniel M. Muñoz RTRLib: A High-Level Modeling Tool for the Implementation of Dynamically Partial Reconfigurable System-on-Chips. Search on Bibsonomy ReConFig The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Samet E. Arda, Anish Krishnakumar, A. Alper Goksoy, Joshua Mack, Nirmal Kumbhare, Anderson L. Sartor, Ali Akoglu, Radu Marculescu, Ümit Y. Ogras A simulation framework for domain-specific system-on-chips: work-in-progress. Search on Bibsonomy CODES+ISSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Ahmed M. Y. Ibrahim, Hans G. Kerkhoff An On-Chip IEEE 1687 Network Controller for Reliability and Functional Safety Management of System-on-Chips. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Ahmed M. Y. Ibrahim, Hans G. Kerkhoff DARS: An EDA Framework for Reliability and Functional Safety Management of System-on-Chips. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Andrea Floridia, Davide Piumatti, Annachiara Ruospo, Ernesto Sánchez 0001, Sergio de Luca, Rosario Martorana A Decentralized Scheduler for On-line Self-test Routines in Multi-core Automotive System-on-Chips. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Jan Moritz Joseph, Dominik Ermel, Tobias Drewes, Lennart Bamberg, Alberto García-Ortiz, Thilo Pionteck Area Optimization with Non-Linear Models in Core Mapping for System-on-Chips. Search on Bibsonomy MOCAST The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Giovanni Pietro Seu Exploiting All-Programmable System on Chips for Closed-Loop Real-Time Neural Interfaces. Search on Bibsonomy 2019   RDF
14Nan Wang 0003, Manting Yao, Dongxu Jiang, Song Chen 0001, Yu Zhu Security-Driven Task Scheduling for Multiprocessor System-on-Chips with Performance Constraints. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Andrea Guerrieri, Sahand Kashani-Akhavan, Mikhail Asiatici, Pasquale Lombardi, Bilel Belhadj, Paolo Ienne LEOSoC: An Open-Source Cross-Platform Embedded Linux Library for Managing Hardware Accelerators in Heterogeneous System-on-Chips(Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Chia-Yin Liu, Cheng-En Wu, Yi-Jung Chen Thermal-aware task and data co-allocation for multi-processor system-on-chips with 3D-stacked memories. Search on Bibsonomy RACS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Georg Sigl, Mathieu Gross, Michael Pehl Where Technology Meets Security: Key Storage and Data Separation for System-on-Chips. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Yvan Debizet, Guénolé Lallement, Fady Abouzeid, Philippe Roche, Jean-Luc Autran Q-Learning-based Adaptive Power Management for IoT System-on-Chips with Embedded Power States. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Vasileios Tenentes, Daniele Rossi 0001, Bashir M. Al-Hashimi Collective-Aware System-on-Chips for Dependable IoT Applications. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Mohammad Saber Golanbari, Mehdi Baradaran Tahoori Runtime adjustment of IoT system-on-chips for minimum energy operation. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Qi Tang 0002, Shang-Feng Wu, Jun-Wu Shi, Jibo Wei Optimization of Duplication-Based Schedules on Network-on-Chip Based Multi-Processor System-on-Chips. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Mohammad Motamedi, Daniel D. Fong, Soheil Ghiasi Cappuccino: Efficient Inference Software Synthesis for Mobile System-on-Chips. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
14Michael Bromberger, Steffen Ehrle, Michael Scharrer, Lukas Erlinghagen, Jens Schick OpenCL-Based 6D-Vision on Heterogeneous System on Chips. Search on Bibsonomy ARCS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Xiaokun Yang, Wujie Wen Design of a pre-scheduled data bus for advanced encryption standard encrypted system-on-chips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Dongyoun Yi, Taewhan Kim Switch cell optimization of power-gated modern system-on-chips. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Nima Taherinejad, Muhammad Ali Shami, Sai Manoj P. D. Self-aware sensing and attention-based data collection in Multi-Processor System-on-Chips. Search on Bibsonomy NEWCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Christophe Eychenne, Yervant Zorian An effective functional safety infrastructure for system-on-chips. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Ahmed Ibrahim 0001, Hans G. Kerkhoff A cost-efficient dependability management framework for self-aware system-on-chips based on IEEE 1687. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Takao Oshita, Joseph Shor, David E. Duarte, Avner Kornfeld, George L. Geannopoulos, Jonathan Douglas, Nasser A. Kurd A Compact First-Order ΣΔ Modulator for Analog High-Volume Testing of Complex System-on-Chips in a 14 nm Tri-Gate Digital CMOS Process. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Stefan Gehrer, Georg Sigl Area-Efficient PUF-Based Key Generation on System-on-Chips with FPGAs. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Hao Xiao, Huajuan Zhang, Fen Ge, Ning Wu A MapReduce architecture for embedded multiprocessor system-on-chips. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Grigor Tshagharyan, Gurgen Harutyunyan, Samvel K. Shoukourian, Yervant Zorian Securing test infrastructure of system-on-chips. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Yidi Liu, Benjamin Carrión Schäfer Optimization of behavioral IPs in multi-processor system-on-chips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Mahmoud Momtazpour, Omid Assare, Negar Rahmati, Amirali Boroumand, Saeid Barati 0001, Maziar Goudarzi Yield-driven design-time task scheduling techniques for multi-processor system on chips under process variation: a comparative study. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Surajit Kumar Roy, Chandan Giri, Hafizur Rahaman 0001 Optimisation of test architecture in three-dimensional stacked integrated circuits for partial stack/complete stack using hard system-on-chips. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Ian Gray, Gary Plumbridge, Neil C. Audsley Toolchain-based approach to handling variability in embedded multiprocessor system on chips. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hend Affes Modélisation au niveau transactionnel de l'architecture et du contrôle relatifs à la gestion d'énergie de systèmes sur puce. (TLM modelling of architecture and control of power management structure for system on chips). Search on Bibsonomy 2015   RDF
14Govindarajalu Bakthavatsalam, K. M. Mehata A Case for Hybrid Instruction Encoding for Reducing Code Size in Embedded System-on-Chips based on RISC Processor Cores. Search on Bibsonomy J. Comput. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Haris Javaid, Aleksandar Ignjatovic, Sri Parameswaran Performance Estimation of Pipelined MultiProcessor System-on-Chips (MPSoCs). Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Yi Wang 0003, Zili Shao, Henry C. B. Chan, Duo Liu, Yong Guan Memory-Aware Task Scheduling with Communication Overhead Minimization for Streaming Applications on Bus-Based Multiprocessor System-on-Chips. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14David Lin, Ted Hong, Yanjing Li, Eswaran S, Sharad Kumar, Farzan Fallah, Nagib Hakim, Donald S. Gardner, Subhasish Mitra Effective Post-Silicon Validation of System-on-Chips Using Quick Error Detection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Giovanni Bruni, Paolo Rech, Lucas A. Tambara, Gabriel L. Nazar, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001, Alessandro Paccagnella Power dissipation effects on 28nm FPGA-based System on Chips neutron sensitivity. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Francesco Paterna, Joe Zanotelli, Tajana Simunic Rosing Ambient variation-tolerant and inter components aware thermal management for mobile system on chips. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Meng-Ling Tsai, Yi-Jung Chen, Yi-Ting Chen, Ru-Hua Chang Scenario-aware data placement and memory area allocation for Multi-Processor System-on-Chips with reconfigurable 3D-stacked SRAMs. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Seung-Hwan Song, Ki Chul Chun, Chris H. Kim A Logic-Compatible Embedded Flash Memory for Zero-Standby Power System-on-Chips Featuring a Multi-Story High Voltage Switch and a Selective Refresh Scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Nadia Nedjah, Lech Józwiak, Luiza de Macedo Mourelle Application-specific processors and system-on-chips for embedded and pervasive applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Dionysios Diamantopoulos, Kostas Siozios, Efstathios Sotiriou-Xanthopoulos, George Economakos, Dimitrios Soudris HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips. Search on Bibsonomy IPDPS Workshops The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Yanjing Li, Eric Cheng, Samy Makar, Subhasish Mitra Self-repair of uncore components in robust system-on-chips: An OpenSPARC T2 case study. Search on Bibsonomy ITC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Xin An Conception et contrôle de haut niveau pour les systèmes sur puce multiprocesseurs adaptatifs. (High level design and control of adaptive multiprocessor system-on-chips). Search on Bibsonomy 2013   RDF
14Jaehwan John Lee, Xiang Xiao Instant Multiunit Resource Hardware Deadlock Detection Scheme for System-on-Chips. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Franco Fiori On the use of high-impedance power supplies to reduce the substrate switching noise in system-on-chips. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Hans G. Kerkhoff, Yong Zhao The design of dependable flexible multi-sensory System-on-Chips for security applications. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Falko Guderian, Rainer Schaffer, Gerhard P. Fettweis Administration- and communication-aware IP core mapping in scalable multiprocessor system-on-chips via evolutionary computing. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Chao Wang 0003, Xi Li 0003, Peng Chen 0004, Xiaojing Feng, Junneng Zhang, Xuehai Zhou Detecting Data Hazards in Multi-Processor System-on-Chips on FPGA. Search on Bibsonomy IPDPS Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Adán Kohler, Juan Manuel Castillo-Sanchez, Joachim Gross, Martin Radetzki Minimal MPI as programming interface for multicore System-on-Chips. Search on Bibsonomy FDL The full citation details ... 2012 DBLP  BibTeX  RDF
14Amir Masoud Gharehbaghi, Masahiro Fujita Transaction-based post-silicon debug of many-core System-on-Chips. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Brandon Noia, Krishnendu Chakrabarty Test-wrapper optimisation for embedded cores in through-silicon via-based three-dimensional system on chips. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Ali Ahmadinia, Hernando Fernandez-Canque Optimization of reconfigurable multi-core system-on-chips for multi-standard applications. Search on Bibsonomy Int. J. Knowl. Based Intell. Eng. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Shaon Yousuf, Adam Jacobs, Ann Gordon-Ross Partially reconfigurable system-on-chips for adaptive fault tolerance. Search on Bibsonomy FPT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Abelardo Jara-Berrocal, Ann Gordon-Ross An integrated development toolset and implementation methodology for partially reconfigurable system-on-chips. Search on Bibsonomy ASAP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Yi Ni, Wai Sum Mong, Jianwen Zhu On virtual prototyping of embedded system-on-chips. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 261 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license