The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VDSM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1999-2002 (16) 2003-2005 (22) 2006-2021 (13)
Publication types (Num. hits)
article(11) inproceedings(40)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 34 occurrences of 28 keywords

Results
Found 51 publication records. Showing 51 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
65Tao Wang, Irene Cheng 0001 Generation of Unit-Width Curve Skeletons Based on Valence Driven Spatial Median (VDSM). Search on Bibsonomy ISVC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 µm and 90 nm technologies. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SRAM memories, VDSM technologies, core-cell, test, march test, dynamic faults, defect analysis
47Shuming Chen, Xiangyuan Liu A Low-Latency and Low-Power Hybrid Insertion Methodology for Global Interconnects in VDSM Designs. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF differential-signaling, insertion methodology, on-chip interconnects, low-swing
47Alberto García Ortiz, Tudor Murgan, Mihail Petrov, Manfred Glesner A linear model for high-level delay estimation in VDSM on-chip interconnects. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Shahin Nazarian, Massoud Pedram, Emre Tuncer An empirical study of crosstalk in VDSM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG) tool, crosstalk induced slowdown and speedup, transition time, static timing analysis (STA), skew
47Masanori Hashimoto, Kazunori Fujimori, Hidetoshi Onodera Automatic Generation of Standard Cell Library in VDSM Technologies. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Chris Schuermyer, Brady Benware, Kevin Cota, Robert Madge, W. Robert Daasch, L. Ning Screening VDSM Outliers using Nominal and Subthreshold Supply Voltage IDDQ. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Alexander Korshak, Jyh-Chwen Lee An Effective Current Source Cell Model for VDSM Delay Calculation. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Chulwoo Kim, Jaesik Lee, Kwang-Hyun Baek, Eric Martina, Sung-Mo Kang High-Performance, Low-Power Skewed Static Logic in Very Deep-Submicron (VDSM) Technology. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Han Liang, Piyush Mishra, Kaijie Wu 0001 Error Correction On-Demand: A Low Power Register Transfer Level Concurrent Error Correction Technique. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Concurrent error detection, register-transfer level, single-event upsets, hardware redundancy
36Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene On the Combined Impact of Soft and Medium Gate Oxide Breakdown and Process Variability on the Parametric Figures of SRAM components. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Daniele Rossi 0001, Steven V. E. S. van Dijk, Richard P. Kleihorst, A. H. Nieuwland, Cecilia Metra Coding Scheme for Low Energy Consumption Fault-Tolerant Bus. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Ali Chehab, Saurabh Patel, Rafic Z. Makki Scaling of iDDT Test Methods for Random Logic Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic power supply current, design for current testability, resistive opens, resistive bridges, very deep sub-micron technologies, VDSM, fault simulation
29Matthew J. Vowels, Necati Cihan Camgöz, Richard Bowden VDSM: Unsupervised Video Disentanglement with State-Space Modeling and Deep Mixtures of Experts. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
29Matthew J. Vowels, Necati Cihan Camgöz, Richard Bowden VDSM: Unsupervised Video Disentanglement With State-Space Modeling and Deep Mixtures of Experts. Search on Bibsonomy CVPR The full citation details ... 2021 DBLP  BibTeX  RDF
29Chia-Ling Chang, Chia-Ching Chang, Hui-Ling Chan, Charles H.-P. Wen, Jayanta Bhadra An intelligent analysis of Iddq data for chip classification in very deep-submicron (VDSM) CMOS technology. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
29Mahmoud Zangeneh, Nasser Masoumi An analytical delay reduction strategy for buffer-inserted global interconnects in VDSM technologies. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Ashok Narasimhan, Karthik Srinivasan, Ramalingam Sridhar A High-Performance Router Design for VDSM NoCs. Search on Bibsonomy SoCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Dan Alexandrescu, Lorena Anghel, Michael Nicolaidis Simulating Single Event Transients in VDSM ICs for Ground Level Radiation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF single fault propagation, fault simulation, soft-errors, single event upsets
29Brady Benware Achieving Sub 100 DPPM Defect Levels on VDSM and Nanometer ASICs. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Soroush Abbaspour, Massoud Pedram Calculating the effective capacitance for the RC interconnect in VDSM technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Michael Nicolaidis Reliability Threats in VDSM - Shortcomings in Conventional Test and Fault-Tolerance Alternatives. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Ichiang Lin, Chien-In Henry Chen Timing Challenges for Very Deep Sub-Micron (VDSM) IC. Search on Bibsonomy VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Dan Alexandrescu, Lorena Anghel, Michael Nicolaidis Simulating Single Event Transients in VDSM ICs for Ground Level Radiation. Search on Bibsonomy LATW The full citation details ... 2002 DBLP  BibTeX  RDF
29Dan Alexandrescu, Lorena Anghel, Michael Nicolaidis New Methods for Evaluating the Impact of Single Event Transients in VDSM ICs. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Lifeng Wu, Zhihong Liu Full-Chip Reliability Simulation for VDSM Integrated Circuits. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29David Bernard, Christian Landrault, Pascal Nouet Interconnect Capacitance Modelling in a VDSM CMOS Technology. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
29Michael S. Shur, Tor A. Fjeldly, Trond Ytterdal Transistor Modeling for the VDSM Era. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field effect transistors, parameter extraction, SPICE, device modeling
29Yasuo Sato, Toyohito Ikeya, Michinobu Nakao, Takaharu Nagumo A BIST approach for very deep sub-micron (VDSM) defects. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Kenneth D. Wagner Robust Scan-Based Logic Test in VDSM Technologies. Search on Bibsonomy Computer The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Yici Cai, Qiang Zhou 0001, Xianlong Hong, Rui Shi, Yang Wang Application of optical proximity correction technology. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF layout, rules-based, OPC, model-based, IC
18Shantanu Dutt, Hasan Arslan Efficient timing-driven incremental routing for VLSI circuits using DFS and localized slack-satisfaction computations. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Xiangyuan Liu, Shuming Chen Delay and Power Estimation Models of Low-Swing Interconnects for Design Planning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-swing interconnect, delay, power, estimation model
18Ashok Narasimhan, Bhooma Srinivasaraghavan, Ramalingam Sridhar A Low-Power Asymmetric Source Driver Level Converter Based Current-Mode Signaling Scheme for Global Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Simone Borri, Magali Hage-Hassan, Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel Analysis of Dynamic Faults in Embedded-SRAMs: Implications for Memory Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF address decoders, core-cells, memory testing, dynamic faults
18Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Hage-Hassan Efficient March Test Procedure for Dynamic Read Destructive Fault Detection in SRAM Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SRAM core-cell, resistive open defects, memory testing, March test, dynamic faults
18Charan Thondapu, Praveen Elakkumanan, Ramalingam Sridhar RG-SRAM: A Low Gate Leakage Memory Design. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Radu M. Secareanu, Suman K. Banerjee, Olin L. Hartin, Virgilio Fernandez, Eby G. Friedman Managing substrate and interconnect noise from high performance repeater insertion in a mixed-signal environment. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Shanq-Jang Ruan, Edwin Naroska, Uwe Schwiegelshohn An efficient algorithm for simultaneous wire permutation, inversion, and spacing. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Daniele Rossi 0001, Martin Omaña 0001, Fabio Toma, Cecilia Metra Multiple Transient Faults in Logic: An Issue for Next Generation ICs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ashok Narasimhan, Manish Kasotiya, Ramalingam Sridhar A Low-Swing Differential Signaling Scheme for On-Chip Global Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Bastian Hage-Hassan Resistive-Open Defects in Embedded-SRAM Core Cells: Analysis and March Test Solution. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Davide Appello, Alessandra Fudoli, Katia Giarda, Emil Gizdarski, Ben Mathew, Vincenzo Tancorre Yield Analysis of Logic Circuits. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Brady Benware, Cam Lu, John Van Slyke, Prabhu Krishnamurthy, Robert Madge, Martin Keim, Mark Kassab, Janusz Rajski Affordable and Effective Screening of Delay Defects in ASICs using the Inline Resistance Fault Model. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Seoksoo Yoon, Seok-Ryong Yoon, Seon Wook Kim, Chulwoo Kim Charge-Sharing-Problem Reduced Split-Path Domino Logic. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Daniele Rossi 0001, Steven V. E. S. van Dijk, Richard P. Kleihorst, André K. Nieuwland, Cecilia Metra Power Consumption of Fault Tolerant Codes: the Active Elements. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Eric Dupont, Michael Nicolaidis Robustness IPs for Reliability and Security of SoCs. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Yih-Chih Chou, Youn-Long Lin A 3-step approach for performance-driven whole-chip routing. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai Timing optimization on routed designs with incremental placementand routing characterization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Yervant Zorian Yield Improvement and Repair Trade-Off for Large Embedded Memories. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon repair, BIST, DFM, Yield improvement
18Reisuke Shimoda, Takaki Yoshida, Masafumi Watari, Yasuhiro Toyota, Kiyokazu Nishi, Akira Motohara Practical Application of Automated Fault Diagnosis for Stuck-at, Bridging, and Measurement Condition Dependent Faults in Fully Scanned Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #51 of 51 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license