The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VTS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (71) 1996 (82) 1997 (71) 1998 (71) 1999 (65) 2000 (67) 2001 (67) 2002 (75) 2003 (61) 2004 (57) 2005 (78) 2006 (95) 2007 (71) 2008 (63) 2009 (66) 2010 (90) 2011 (71) 2012 (59) 2013 (73) 2014 (71) 2015 (65) 2016 (65) 2017 (55) 2018 (54) 2019 (129) 2020 (46) 2021 (85) 2022 (93) 2023 (109) 2024 (1)
Publication types (Num. hits)
article(57) data(3) inproceedings(2274) proceedings(37)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1969 occurrences of 710 keywords

Results
Found 2371 publication records. Showing 2371 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
111Esteban Egea-López, Javier Vales-Alonso, Alejandro S. Martínez-Sala, Joan García-Haro, Pablo Pavón-Mariño, M. Victoria Bueno-Delgado A Real-Time MAC Protocol for Wireless Sensor Networks: Virtual TDMA for Sensors (VTS). Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
107Bita Gorjiara, Nader Bagherzadeh, Pai H. Chou Ultra-fast and efficient algorithm for energy optimization by gradient-based stochastic voltage and task scheduling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF slack distribution, voltage and task scheduling, Power management
75Vinod K. Agarwal VTS 1999 Keynote Address Embedded Test OR External Test. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
72Esteban Egea-López, Javier Vales-Alonso, Alejandro S. Martínez-Sala, Joan García-Haro, Pablo Pavón-Mariño, M. Victoria Bueno-Delgado A wireless sensor networks MAC protocol for real-time applications. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Wireless sensor networks, MAC protocols, Real-time applications
72Avishek Adhikari, Tridib Kumar Dutta, Bimal K. Roy A New Black and White Visual Cryptographic Scheme for General Access Structures. Search on Bibsonomy INDOCRYPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF visual secret sharing scheme, visual threshold scheme, general access structure, secret sharing scheme, visual cryptography
72Fei Mu, Jiwu Shu, Bigang Li, Weimin Zheng A Virtual Tape System Based on Storage Area Networks. Search on Bibsonomy GCC Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Kee Sup Kim, Rob Roy Apprentice - VTS edition: Season 4. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
57Kee Sup Kim Panel 12C: Apprentice - VTS edition judging session. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
57Kee Sup Kim Panel 4A: Apprentice - VTS edition: Season 3. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
57Kee Sup Kim Panel: Apprentice - VTS Edition: Season 2. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
57 VTS 2004 Best Panel Award. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57 VTS 2004 Best Paper Award. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57 VTS 2004 Best Innovative Practices Session Award. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Jinyu Li 0001, Li Deng 0001, Dong Yu 0001, Jian Wu 0027, Yifan Gong 0001, Alex Acero Adaptation of compressed HMM parameters for resource-constrained speech recognition. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
54Orlando Moreira, Jacob Jan-David Mol, Marco Bekooij Online resource management in a multiprocessor with a network-on-chip. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiprocessors-on-chip, real-time systems, networks-on-chip
54Fábio Luciano Verdi, Maurício F. Magalhães, Edmundo Roberto Mauro Madeira, Annikki Welin The Virtual Topology Service: A Mechanism for QoS-Enabled Interdomain Routing. Search on Bibsonomy IPOM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Mohamed Afify Accurate compensation in the log-spectral domain for noisy speech recognition. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Bita Gorjiara, Nader Bagherzadeh, Pai H. Chou An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stochastic gradient search, scheduling, optimization, power management, dynamic voltage scaling (DVS), heterogeneous systems, multi-processor systems
54Louis A. Le Blanc, Conway T. Rucks A Discriminant Analysis of Information Systems Effectiveness: Categorical Dependent Variables of DSS Impact. Search on Bibsonomy HICSS (4) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
53Andreas G. Veneris, Yiorgos Makris Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Namje Park The Improvement for Performance of Inter-VTS Data Exchange Format Protocol in VTS System. Search on Bibsonomy FCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
43Don Hendrickson Around the IEEE-VTS [VTS News]. Search on Bibsonomy IEEE Veh. Technol. Mag. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
43Don Hendrickson New VTS Coordinators, Conferences, and Members [VTS News]. Search on Bibsonomy IEEE Veh. Technol. Mag. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
43Don Hendrickson VTS 2008 Chapter of the Year [VTS News]. Search on Bibsonomy IEEE Veh. Technol. Mag. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
43Don Hendrickson New VTS Chapter formed [VTS News]. Search on Bibsonomy IEEE Veh. Technol. Mag. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Tom Rubinstein 0001 VTS news - VTS digital archive. Search on Bibsonomy IEEE Veh. Technol. Mag. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Jun Du, Qiang Huo A feature compensation approach using piecewise linear approximation of an explicit distortion model for noisy speech recognition. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Martin Redoutey, Eric Scotti, Christian S. Jensen, Cyril Ray, Christophe Claramunt Efficient Vessel Tracking with Accuracy Guarantees. Search on Bibsonomy W2GIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF maritime navigation, tracking, trajectory prediction
36John E. Brough, Maxim Schwartz, Satyandra K. Gupta, Davinder K. Anand, Robert Kavetsky, Ralph Pettersen Towards the development of a virtual environment-based training system for mechanical assembly operations. Search on Bibsonomy Virtual Real. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Chi-I Hsu, Chaochang Chiu, Chich Hua Su, Ping-Ju Wu, Yuan Jie Yang Function Interfaces Assessment of Online Game Websites in Great China Area Using Content Analysis. Search on Bibsonomy HCI (9) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Yu Hu 0003, Qiang Huo An HMM Compensation Approach Using Unscented Transformation for Noisy Speech Recognition. Search on Bibsonomy ISCSLP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Víctor A. Braberman, Nicolas Kicillof, Alfredo Olivero A Scenario-Matching Approach to the Description and Model Checking of Real-Time Properties. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scenario-based verification, model checking, formal methods, Requirements/specifications
36Linna Ma, Songxiang Gu, Suman Nadella, Philippe P. Bruyant, Michael A. King, Michael A. Gennert A Practical Rebinning-Based Method for Patient Motion Compensation in SPECT imaging. Search on Bibsonomy CGIV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Pierre A. Balthazard, David Waldman, Jane Howell, Leanne Atwater Shared Leadership and Group Interaction Styles in Problem-Solving Virtual Teams. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35 41st IEEE VLSI Test Symposium, VTS 2023, San Diego, CA, USA, April 24-26, 2023 Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Daniel Tille, Leon Klimasch, Sebastian Huhn 0001 A Novel LBIST Signature Computation Method for Automotive Microcontrollers using a Digital Twin. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Javad Bahrami, Mohammad Ebrahimabadi, Jean-Luc Danger, Sylvain Guilley, Naghmeh Karimi Special Session: Security Verification & Testing for SR-Latch TRNGs. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Po-Yao Chuang, Francesco Lorenzelli, Sreejit Chakravarty, Cheng-Wen Wu, Georges G. E. Gielen, Erik Jan Marinissen Effective and Efficient Testing of Large Numbers of Inter-Die Interconnects in Chiplet-Based Multi-Die Packages. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Michele Portolan, Martin Keim, Jeff Rearick, Heiko Ehrenberg Refreshing the JTAG Family. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Bapi Vinnakota, Jaber Derakhshandeh, Eric Beyne, Erik Jan Marinissen, Sreejit Chakravarty IP Session on Chiplet: Design, Assembly, and Test. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Surendra Hemaram, Soyed Tuhin Ahmed, Mahta Mayahinia, Christopher Münch, Mehdi B. Tahoori A Low Overhead Checksum Technique for Error Correction in Memristive Crossbar for Deep Learning Applications. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Fabio Pavanello, Elena Ioana Vatajelu, Alberto Bosio, Thomas Van Vaerenbergh, Peter Bienstman, Benoît Charbonnier, Alessio Carpegna, Stefano Di Carlo, Alessandro Savino Special Session: Neuromorphic hardware design and reliability from traditional CMOS to emerging technologies. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Chin-Kuan Lin, Cheng-Che Lu, Shuo-Wen Chang, Ying-Hua Chu, Kai-Chiang Wu, Mango Chia-Tso Chao Outlier Detection for Analog Tests Using Deep Learning Techniques. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Gurumurti Kailaschandra Avhad, Shitin Sahu, Navaneeth Kumar Auxiliary State Machine Controlled Autonomous Design Verification Framework. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Fei Su, Xiankun Robert Jin, Nilanjan Mukherjee 0001, Yervant Zorian Innovation Practices Track: Silicon Lifecycle Management Challenges and Opportunities. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Adit D. Singh, Sreejit Chakravarty, George Papadimitriou 0001, Dimitris Gizopoulos Silent Data Errors: Sources, Detection, and Modeling. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Mohammad Hasan Ahmadilivani, Mario Barbareschi, Salvatore Barone, Alberto Bosio, Masoud Daneshtalab, Salvatore Della Torca, Gabriele Gavarini, Maksim Jenihhin, Jaan Raik, Annachiara Ruospo, Ernesto Sánchez 0001, Mahdi Taheri Special Session: Approximation and Fault Resiliency of DNN Accelerators. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35V. A. Niranjan, Deepika Neethirajan, Constantinos Xanthopoulos, D. Webster, Amit Nahar, Yiorgos Makris Machine Learning-Based Adaptive Outlier Detection for Underkill Reduction in Analog/RF IC Testing. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Jackson Fugate, Greg Stitt, Naren Vikram Raj Masna, Aritra Dasgupta, Swarup Bhunia, Nij Dorairaj, David Kehlet An Exploration of ATPG Methods for Redacted IP and Reconfigurable Hardware. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Gooyoung Kim, Youngseon Moon, Jongmin Kim, Jaeyong Jeong, Eun-Kyoung Kim, Sunghoi Hur Kernel Smoothing Technique Based on Multiple-Coordinate System for Screening Potential Failures in NAND Flash Memory. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Ahmet Enis Çetin, Hongyi Pan Hybrid Binary Neural Networks: A Tutorial Review. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Artur Ghukasyan, Grigor Tshagharyan, Gurgen Harutyunyan, Yervant Zorian Overcoming Embedded Memory Test & Repair Challenges in the Gate-All-Around Era. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Shao-Chun Hung, Arjun Chaudhuri, Sanmitra Banerjee, Krishnendu Chakrabarty Special Session: Using Graph Neural Networks for Tier-Level Fault Localization in Monolithic 3D ICs *. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Yu-Min Li, Cheng-Yun Hsieh, Yen-Wei Li, James Chien-Mo Li Diagnosis of Quantum Circuits in the NISQ Era. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Ian Hill, André Ivanov Gerabaldi: A Temporal Simulator for Probabilistic IC Degradation and Failure Processes. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Irith Pomeranz Expanding a Pool of Functional Test Sequences to Support Test Compaction. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Lilas Alrahis, Ozgur Sinanoglu Graph Neural Networks for Hardware Vulnerability Analysis - Can you Trust your GNN? Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Fei Su, Meirav Nitzan, Ankush Sethi, Vaibhav Kumar, Dan Alexandrescu Innovation Practices Track: VLSI Functional Safety. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Subashini Gopalsamy, Irith Pomeranz Fully Deterministic Storage Based Logic Built-In Self-Test. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Fei Su, Marc Hunter, Chen He, Sashi Obilisetty Innovation Practices Track: Innovation on Telemetry Monitoring. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Vinay Kumar, Bhrugurajsinh Chudasama, Bin B. W. Wang, Manish Arora, Bharath Shankaranarayanan Allocating Physically Aware Embedded Memory Test & Repair Processor using Floorplan Info at the RTL Design Level. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Mingye Li, Yunkun Lin, Sandeep Gupta 0001 Design for testability (DFT) for RSFQ circuits. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Francesco Angione, Paolo Bernardi, Nicola Di Gruttola Giardino, Davide Appello, Claudia Bertani, Vincenzo Tancorre A guided debugger-based fault injection methodology for assessing functional test programs. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Judy Amanor-Badu, Ritchie Rice, Azizi Shuma, Rishik Bazaz, Horthense Tamdem Pre and post silicon server platform transient performance using trans-inductor voltage regulator. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Mridha Md Mashahedur Rahman, M. Sazadur Rahman, Rasheed Kibria, Mike Borza, Bandy Reddy, Adam Cron, Fahim Rahman, Mark M. Tehranipoor, Farimah Farahmandi CAPEC: A Cellular Automata Guided FSM-based IP Authentication Scheme. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Yu-Teng Nien, Chen-Hong Li, Pei-Yin Wu, Yung-Jheng Wang, Kai-Chiang Wu, Mango C.-T. Chao Test Generation for Defect-Based Faults of Scan Flip-Flops. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Keqing Ouyang, Minqiang Peng, Yunnong Zhu, Kang Qi, Grigor Tshagharyan, Arun Kumar, Gurgen Harutyunyan, Isaac Wang An Efficient External Memory Test Solution: Case Study for HPC Application. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Saidapet Ramesh, Kristofor Dickson, Akshay Jaiswal, Robert Marchese, Kiran Sunny Thota Targeted Custom High-Voltage Stress Patterns on Automotive Designs. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Chun Chen, Jeng-Yu Liao, James Chien-Mo Li, Harry H. Chen, Eric Jia-Wei Fang Vmin Prediction Using Nondestructive Stress Test. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Sohrab Aftabjahani, Mark M. Tehranipoor, Farimah Farahmandi, Bulbul Ahmed, Ryan Kastner, Francesco Restuccia 0002, Andres Meza 0001, Kaki Ryan, Nicole Fern, Jasper Van Woudenberg, Rajesh Velegalati, Cees-Bart Breunesse, Cynthia Sturton, Calvin Deutschbein Special Session: CAD for Hardware Security - Promising Directions for Automation of Security Assurance. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Leon Li, Alex Orailoglu Thwarting Reverse Engineering Attacks through Keyless Logic Obfuscation. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Gauri Koli, Liam Nguyen, Jennifer Kitchen Architectural Radiation Hardening of CMOS Power Management Circuits through Bias Tuning. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Fei Su, Eric Zhang, Arjun Chaudhuri, Michael Paulitsch Innovation Practices Track: Testability and Dependability of AI Hardware and Autonomous Systems. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Irith Pomeranz Compact Set of Functional Broadside Tests with Fault Detection on Primary Outputs. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Arjun Chaudhuri, Ching-Yuan Chen, Jonti Talukdar, Krishnendu Chakrabarty Functional Test Generation for AI Accelerators using Bayesian Optimization∗. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Mikail Yayla, Simon Thomann, Md. Mazharul Islam 0006, Ming-Liang Wei, Shu-Yin Ho, Ahmedullah Aziz, Chia-Lin Yang, Jian-Jia Chen, Hussam Amrouch Reliable Brain-inspired AI Accelerators using Classical and Emerging Memories. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Mohammad Ershad Shaik, Abhishek Kumar Mishra, Yonghyun Kim Predicting the Silent Data Error Prone Devices Using Machine Learning. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
35Sahil Shah, Jennifer Blain Christen Special Session: Calibrating mismatch in an ISFET with a Floating-Gate. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Arani Sinha Innovative Practices Track: Silent Data Errors. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Jerin Joe, Nilanjan Mukherjee 0001, Irith Pomeranz, Janusz Rajski Fast Test Generation for Structurally Similar Circuits. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Rubin A. Parekhji Innovative Practices Track: New Methods for System Level Test of Image Projection and Radar VLSI Systems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Minqiang Peng, Youfa Wu, Jialiang Li, Alex Yu, Grigor Tshagharyan, Costas Argyrides, Vilas Sridharan, Gurgen Harutyunyan, Yervant Zorian, Nilanjan Mukherjee Innovative Practices Track: What's Next for Automotive: Where and How to Improve Field Test and Enhance SoC Safety. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Sreejit Chakravarty Special Session: A Call to Standardize Chip-let Interconnect Testing. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Mingye Li, Fangzhou Wang, Sandeep Gupta 0001 Methods for testing path delay and static faults in RSFQ circuits. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Shail Dave, Alberto Marchisio, Muhammad Abdullah Hanif, Amira Guesmi, Aviral Shrivastava, Ihsen Alouani, Muhammad Shafique 0001 Special Session: Towards an Agile Design Methodology for Efficient, Reliable, and Secure ML Systems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Baishakhi Rani Biswas, Sandeep Gupta 0001 Memristor-Specific Failures: New Verification Methods and Emerging Test Problems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Bala Tarun Nelapatla, Rahul Singhal, Michael Daub, Zoran Stanojevics Innovative Practices Track: High Speed Test Fabric. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Debarshi Chatterjee, Parth Lathigara, Siddhanth Dhodhi, Chad Parsons FIFO Topology Aware Stalling for Accelerating Coverage Convergence of Stalling Regressions. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Sankaran M. Menon, Rolf Kühnis Special Session: Closed Chassis Platform Debug of Compute Systems using the Functional Ubiquitous USB Type-C Receptacle. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Fei Su, Stephen Crosher, Andrea Matteucci, Yuwen Zou Innovation Practices Track: Silicon Telemetry for Dependability. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Mona Ganji, Marampally Saikiran, Degang Chen 0001 All Digital Low-Overhead SAR ADC Built-In Self-Test for Fault Detection and Diagnosis. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Anteneh Gebregiorgis, Lizhou Wu, Christopher Münch, Siddharth Rao, Mehdi B. Tahoori, Said Hamdioui Special Session: STT-MRAMs: Technology, Design and Test. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Aibin Yan, Kuikui Qian, Jie Cui 0004, Ningning Cui, Zhengfeng Huang, Xiaoqing Wen, Patrick Girard 0001 A Highly Reliable and Low Power RHBD Flip-Flop Cell for Aerospace Applications. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Soyed Tuhin Ahmed, Mehdi B. Tahoori Fault-tolerant Neuromorphic Computing with Functional ATPG for Post-manufacturing Re-calibration. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Ziqi Zhou, Ujjwal Guin, Peng Li, Vishwani D. Agrawal Fault Modeling and Test Generation for Technology-Specific Defects of Skyrmion Logic Circuits. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Praise O. Farayola, Isaac Bruce, Shravan K. Chaganti, Abalhassan Sheikh, Srivaths Ravi 0001, Degang Chen 0001 The Least-Squares Approach to Systematic Error Identification and Calibration in Semiconductor Multisite Testing. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Jennifer Hasler Special Session: Testing and Characterization for Large-Scale Programmable Analog Systems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Daniel W. Gulick, Yuna Jung, Seunghyun Lee, Sule Ozev, Jennifer Blain Christen Exploring Model-based Failure Prediction of Passive Bio-electro-mechanical Implants. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Gang Qu 0001, Benjamin Tan 0001, Kuheli Pratihar, Debdeep Mukhopadhyay, Ramesh Karri Innovation Practices Track: Security in Test and Test for Security. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Nitin Chaudhary Innovative Practices Track: Novel Methods for Validation and Test. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2371 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license